找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (693) |订阅|存档

24小时必答区 今日: 390 |主题: 53893|排名: 43 

作者 回复/查看 最后发表
悬赏 预览 单片机多级菜单+按键,子函数中有按键如何处理? - [悬赏 50 黑币] uid:743654 2021-1-5 17:32 92679 2021-1-8 11:12
悬赏 预览 关于单片机P0,P1,P2,P3之间关系的疑问 - [已解决] uid:703395 2020-3-9 09:37 105678 2021-5-11 11:40
悬赏 预览 51单片机模拟时钟程序怎么加文字? - [已解决] attachment uid:698481 2020-3-4 21:26 61365 2020-3-5 15:39
悬赏 预览 求思路 用stc15w408as单片机输出每隔50ms输出10个脉宽2.5us - [悬赏 200 黑币] attach_img uid:648591 2021-1-5 21:05 142203 2021-1-7 15:13
悬赏 预览 整流桥电路整流前后电压变化问题 - [已解决] attach_img uid:685842 2021-1-6 10:33 79030 2021-1-7 16:38
悬赏 预览 51单片机DA-PWM输出呼吸灯 - [已解决] uid:590549 2019-11-28 07:04 72299 2020-2-6 12:22
悬赏 预览 用单片机做电子琴怎么控制音色?发出近似钢琴音色的音符? - [悬赏 8 黑币] uid:407680 2020-3-16 14:08 62414 2020-3-17 09:09
悬赏 预览 51单片机串口通信问题,求解答 - [已解决] attach_img uid:685842 2020-2-20 20:07 71676 2020-2-21 15:14
悬赏 预览 知道电压和电流算出它的功率,然后如何算Wh、充电的容量mAh - [悬赏 50 黑币] attach_img uid:316880 2020-3-11 21:49 710789 2020-3-13 11:57
悬赏 预览 诚心请教各位前辈赶我看看,编译无警告无错误,可1602就是不会显示...... - [悬赏 5 黑币] uid:408809 2020-1-3 11:43 91346 2020-1-5 21:46
悬赏 预览 关于C语言主副程序问题 - [已解决] attachment uid:371614 2020-2-17 20:35 92390 2022-11-9 09:06
悬赏 预览 求这张Proteus仿真图里的所有元器件 - [悬赏 200 黑币] attach_img uid:851504 2021-1-9 10:12 82102 2021-1-11 21:21
悬赏 预览 单片机独立按键控制 求帮助 - [悬赏 2 黑币] uid:661003 2019-12-11 07:34 71117 2019-12-17 21:41
悬赏 预览 关于单片机+数码管点不亮 求助大神解惑 - [已解决] attach_img uid:704483 2020-3-20 10:32 84136 2020-3-25 23:25
悬赏 预览 那位大神有清翔51单片机智能小车的资料资料可以分享一下吗?求帮助 - [悬赏 30 黑币] attach_img uid:379643 2018-8-26 17:36 85079 2019-4-17 07:38
悬赏 预览 为什么我的mainn.c文件编译近100个错误 - [已解决] attach_img uid:404720 2018-11-27 22:32 91908 2018-11-28 22:03
悬赏 预览 51单片机的一个定时器如何输出4路PWM? - [悬赏 15 黑币] uid:279061 2018-11-28 15:44 74033 2018-12-20 15:01
悬赏 预览 missing';'before'0000' 为什么c语言的程序会出现这样的错误? - [悬赏 1 黑币] attachment uid:401913 2018-10-13 21:22 73573 2018-10-14 19:09
悬赏 预览 创新电子产品设计如何申请专利? - [悬赏 200 黑币] attach_img uid:308437 2018-9-10 10:43 73116 2019-10-2 15:56
悬赏 预览 如何在win10中兼容isis professoonal - [已解决] uid:407441 2018-11-24 17:43 93936 2018-12-2 11:25
悬赏 预览 温度采集系统的软件 求帮助 - [悬赏 100 黑币] uid:397024 2018-9-14 15:06 91582 2018-9-17 00:49
悬赏 预览 蓝牙&超声波结合的问题 - [悬赏 500 黑币] uid:402202 2018-10-5 20:58 72837 2018-10-10 18:56
悬赏 预览 请帮助推荐一款符合要求的STC单片机 - [已解决] attach_img uid:371423 2018-7-28 16:23 51893 2018-7-28 21:46
悬赏 预览 串口通过485发送数据接收到的数据不是发出的数据是什么问题 抢楼 - [悬赏 6 黑币] attach_img uid:418482 2018-11-9 16:39 64234 2021-5-14 09:43
悬赏 预览 电机H桥电路 求大神指点 - [悬赏 1 黑币] attach_img uid:167675 2018-7-19 10:57 93191 2018-8-24 22:04
悬赏 预览 如何实现LED点阵海浪式呼吸效果(程序+仿真) - [悬赏 150 黑币] attach_img uid:412944 2018-10-21 20:34 73868 2018-10-23 10:14
悬赏 预览 单片机测温报警系统LED灯不亮,温度的上下限每次退出后就会归零,保存不了 - [已解决] attach_img uid:347552 2018-6-8 16:15 102898 2019-12-31 16:20
悬赏 预览 52单片机接两个DS18B20,测出的温度显示相差很大,大概10度左右,大神可以给些建议... - [悬赏 100 黑币] uid:455316 2019-5-25 19:01 72990 2019-5-27 12:53
悬赏 预览 求STC89C52的models(proteus元件库中的)不能用AT89C52代替 - [悬赏 50 黑币] attachment uid:451522 2019-3-28 20:15 108437 2019-3-31 11:25
悬赏 预览 如何通过改变延时函数 改变单片机流水灯左移移速 就是用按键模块 加或是减 延时时... - [悬赏 3 黑币] uid:421466 2018-12-26 01:02 72348 2018-12-26 09:15
悬赏 预览 这个单片机程序为什么按下key2按键,小灯不进行呼吸? - [悬赏 5 黑币] uid:483535 2019-3-7 22:50 82279 2019-3-8 22:39
悬赏 预览 单片机如何写用脉冲控制步进电机呢? - [悬赏 1 黑币] uid:475888 2019-2-18 21:42 54817 2019-2-19 14:40
悬赏 预览 关于单片机向8266发送at指令的问题 - [悬赏 400 黑币] uid:487464 2019-3-17 00:21 63943 2020-12-25 14:08
悬赏 预览 求大佬帮忙把单片机程序里面的矩阵键盘改成图片的形式 A B C D 各一种功能 - [悬赏 5 黑币] attach_img uid:418072 2019-1-9 12:31 72214 2019-1-11 09:01
悬赏 预览 用GY-25模块1602显示屏总是无显示,哪位大佬能教一下 - [悬赏 2 黑币] uid:491630 2019-3-15 18:40 31973 2019-10-21 22:01
悬赏 预览 求教该如何识别锂电池的老化,真心求教操作思路 - [已解决] attachment uid:514264 2019-4-17 17:20 92827 2020-1-3 12:39
悬赏 预览 请大佬帮新手的我分析一下单片机程序,主要是延时部分看不懂 - [悬赏 2 黑币] uid:351862 2019-1-20 17:10 71584 2019-1-22 15:14
悬赏 预览 求助:请问如何将显示屏换成灯泡,当压力达到一定值,灯泡发光 - [悬赏 20 黑币] attach_img uid:503530 2019-4-11 09:34 61343 2019-4-13 08:21
悬赏 预览 oled显示发虚 - [悬赏 10 黑币] attach_img uid:244363 2018-12-30 08:54 92781 2019-1-2 11:21
悬赏 预览 51单片机中断程序中的(1<<1)是什么意思? - [已解决] attach_img uid:424795 2018-12-19 20:00 710116 2018-12-20 12:27
悬赏 预览 求大神帮忙定义一下,写个单片机程序的开头 - [悬赏 3 黑币] attach_img uid:436509 2018-12-1 18:22 72530 2018-12-20 14:56
悬赏 预览 51单片机读取ds1302时钟模块的数据显示在1602上面,乱码了 - [已解决] attach_img uid:232062 2019-3-23 22:27 84481 2019-3-26 21:10
悬赏 预览 用keil4编译怎么用c语言给字符串加双引号? - [已解决] uid:669970 2020-2-24 17:10 73398 2020-2-26 08:49
悬赏 预览 关于STC15系列的单片机程序烧录问题 - [已解决] attach_img uid:398994 2020-10-14 09:47 104347 2020-11-19 10:38
悬赏 预览 求助一个42步进电机的驱动电路 - [已解决] attach_img uid:451718 2020-10-17 17:38 93168 2020-10-22 14:08
悬赏 预览 请教单片机3路AD输入,想再增加一个频率计,让1602显示的程序 - [悬赏 500 黑币] attach_img uid:617813 2020-8-18 00:55 62322 2021-5-1 10:04
悬赏 预览 这个数字电路中怎么让数码管显示1时闪烁啊? - [悬赏 50 黑币] attach_img uid:770785 2020-12-24 19:08 83355 2021-1-4 16:17
悬赏 预览 求指点,单片机怎么才能采集显示两组频率信号? - [已解决] uid:763540 2020-10-21 23:43 71903 2020-10-24 22:09
悬赏 预览 单片机代码改错error c129: missing ';' before - [悬赏 4 黑币] attach_img uid:859116 2020-12-14 19:58 89727 2022-5-4 14:40
悬赏 预览 关于51单片机定时计数器程序问题 - [已解决] uid:574921 2020-12-5 23:20 62065 2020-12-8 18:40
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块