找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索

CD4518+CD4511实现10进制计数器电路图和PCB源文件

查看数: 20740 | 评论数: 3 | 收藏 3
关灯 | 提示:支持键盘翻页<-左 右->
    组图打开中,请稍候......
发布时间: 2018-12-6 16:38

正文摘要:

本电路采用CD4518芯片,此芯片是二,十进制(8421编码)同步加计数器,内含两个单元的加计数器。有了计数编码输出之后,我们就要进行编码了,我们采用CD4511这样一个译码芯片,它是一个七段码译码器,具有BCD转换, ...

回复

ID:1105407 发表于 2023-12-20 21:06
有谁知道这个电路原理图中,P1,P2,P3代表什么啊
ID:413982 发表于 2021-10-9 21:54
怎么做成四位计数器
ID:648737 发表于 2019-11-25 01:12
这个是不是一样的啊

图片1.jpg (82.78 KB, 下载次数: 224)

图片1.jpg

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表