找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2897|回复: 2
打印 上一主题 下一主题
收起左侧

FPGA VGA显示源代码

[复制链接]
跳转到指定楼层
楼主


全部资料51hei下载地址:
polar.7z (6.81 MB, 下载次数: 27)

  1. module polar(
  2. CLOCK2_50,     //原CLK2_50时钟信号
  3. VGA_CLK,    //VGA自时钟
  4. VGA_HS,     //行同步信号
  5. VGA_VS,     //场同步信号   
  6. VGA_BLANK_N,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略
  7. VGA_SYNC_N,   //符合同步控制信号      行时序和场时序都要产生同步脉冲
  8. VGA_R,      //VGA绿色
  9. VGA_B,      //VGA蓝色
  10. VGA_G,
  11. SW,
  12. LEDR);
  13. input CLOCK2_50;     //外部时钟信号CLK2_50
  14. input [7:0] SW;
  15. output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK_N,VGA_SYNC_N;
  16. output [7:0] VGA_R,VGA_B,VGA_G;
  17. output reg[7:0] LEDR;

  18. parameter H_FRONT = 16;     //行同步前沿信号周期长
  19. parameter H_SYNC = 96;      //行同步信号周期长
  20. parameter H_BACK = 48;      //行同步后沿信号周期长
  21. parameter H_ACT = 640;      //行显示周期长
  22. parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长
  23. parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时
  24. parameter V_FRONT = 11;     //场同步前沿信号周期长
  25. parameter V_SYNC = 2;       //场同步信号周期长
  26. parameter V_BACK = 31;      //场同步后沿信号周期长
  27. parameter V_ACT = 480;      //场显示周期长
  28. parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长
  29. parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时
  30. reg [10:0] H_Cont;        //行周期计数器
  31. reg [10:0] V_Cont;        //场周期计数器
  32. wire [7:0] VGA_R;         //VGA红色控制线
  33. wire [7:0] VGA_G;         //VGA绿色控制线
  34. wire [7:0] VGA_B;         //VGA蓝色控制线
  35. reg VGA_HS;
  36. reg VGA_VS;
  37. reg [10:0] X;             //当前行第几个像素点
  38. reg [10:0] Y;             //当前场第几行
  39. reg CLK_25;
  40. reg [1000:0] count;
  41. reg CLK1=0;
  42. wire [7:0] dout,cccin;
  43. reg[7:0] din;
  44. wire CLK_to_DAC;
  45. always@(posedge CLOCK2_50)
  46.   begin
  47.    if(count==25000000)
  48.     begin
  49.      CLK1=~CLK1;
  50.      count<=0;
  51.     end
  52.    else
  53.     count<=count+1;
  54.    end
  55.        
  56. polar_code_8 polar_code_8(
  57.    .clk(CLOCK2_50),
  58.         .din(din),
  59.         .dout(dout),
  60.         .cccin(cccin)
  61. );

  62. reg[629:0]
  63. //原码字模
  64.   char_line10=630'h0000000000000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  65.   char_line11=630'h3FFE01F800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  66.   char_line12=630'h2080FC0800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  67.   char_line13=630'h2100100800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  68.   char_line14=630'h27F0108800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  69.   char_line15=630'h2410208800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  70.   char_line16=630'h24103C8800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  71.   char_line17=630'h27F064FE00000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  72.   char_line18=630'h2410640200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  73.   char_line19=630'h2410A40200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  74.   char_line1a=630'h27F0240200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  75.   char_line1b=630'h208025FA00000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  76.   char_line1c=630'h24903C0200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  77.   char_line1d=630'h4888240200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  78.   char_line1e=630'h5284201400000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  79.   char_line1f=630'h8100000800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,

  80. //编码字模
  81.   char_line20=630'h1080000000000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  82.   char_line21=630'h104001F800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  83.   char_line22=630'h23FCFC0800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  84.   char_line23=630'h2204100800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  85.   char_line24=630'h4A04108800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  86.   char_line25=630'hFBFC208800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  87.   char_line26=630'h12003C8800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  88.   char_line27=630'h220064FE00000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  89.   char_line28=630'h43FC640200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  90.   char_line29=630'hFB54A40200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  91.   char_line2a=630'h4354240200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  92.   char_line2b=630'h05FC25FA00000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  93.   char_line2c=630'h1D543C0200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  94.   char_line2d=630'hE554240200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  95.   char_line2e=630'h4944201400000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  96.   char_line2f=630'h010C000800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,

  97. //译码字模
  98.   char_line30=630'h0000000000000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  99.   char_line31=630'h23FC01F800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  100.   char_line32=630'h1104FC0800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  101.   char_line33=630'h1088100800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  102.   char_line34=630'h0050108800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  103.   char_line35=630'h0020208800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  104.   char_line36=630'hF0D83C8800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  105.   char_line37=630'h132664FE00000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  106.   char_line38=630'h1020640200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  107.   char_line39=630'h11FCA40200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  108.   char_line3a=630'h1020240200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  109.   char_line3b=630'h142025FA00000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  110.   char_line3c=630'h1BFE3C0200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  111.   char_line3d=630'h1020240200000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  112.   char_line3e=630'h0020201400000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  113.   char_line3f=630'h0020000800000000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000_00000,
  114.   
  115.   //原码波形初始化
  116.   char_line40=630'h0,
  117.   char_line41=630'h0,
  118.   char_line42=630'h0,
  119.   char_line43=630'h0,
  120.   char_line44=630'h0,
  121.   char_line45=630'h0,
  122.   char_line46=630'h0,
  123.   char_line47=630'h0,
  124.   char_line48=630'h0,
  125.   char_line49=630'h0,
  126.   char_line4a=630'h0,
  127.   char_line4b=630'h0,
  128.   char_line4c=630'h0,
  129.   char_line4d=630'h0,
  130.   char_line4e=630'h0,
  131.   char_line4f=630'h0,
  132.   char_line50=630'h0,
  133.   char_line51=630'h0,
  134.   char_line52=630'h0,
  135.   char_line53=630'h0,
  136.   char_line54=630'h0,
  137.   char_line55=630'h0,
  138.   char_line56=630'h0,
  139.   char_line57=630'h0,
  140.   char_line58=630'h0,
  141.   char_line59=630'h0,
  142.   char_line5a=630'h0,
  143.   char_line5b=630'h0,
  144.   char_line5c=630'h0,
  145.   char_line5d=630'h0,
  146.   char_line5e=630'h0,
  147.   char_line5f=630'h0,
  148.   
  149.   //编码波形初始化
  150.   char_line60=630'h0,
  151.   char_line61=630'h0,
  152.   char_line62=630'h0,
  153.   char_line63=630'h0,
  154.   char_line64=630'h0,
  155.   char_line65=630'h0,
  156.   char_line66=630'h0,
  157.   char_line67=630'h0,
  158.   char_line68=630'h0,
  159.   char_line69=630'h0,
  160.   char_line6a=630'h0,
  161.   char_line6b=630'h0,
  162.   char_line6c=630'h0,
  163.   char_line6d=630'h0,
  164.   char_line6e=630'h0,
  165.   char_line6f=630'h0,
  166.   char_line70=630'h0,
  167.   char_line71=630'h0,
  168.   char_line72=630'h0,
  169.   char_line73=630'h0,
  170.   char_line74=630'h0,
  171.   char_line75=630'h0,
  172.   char_line76=630'h0,
  173.   char_line77=630'h0,
  174.   char_line78=630'h0,
  175.   char_line79=630'h0,
  176.   char_line7a=630'h0,
  177.   char_line7b=630'h0,
  178.   char_line7c=630'h0,
  179.   char_line7d=630'h0,
  180.   char_line7e=630'h0,
  181.   char_line7f=630'h0,
  182.   
  183.   //译码波形初始化
  184.   char_line80=630'h0,
  185.   char_line81=630'h0,
  186.   char_line82=630'h0,
  187.   char_line83=630'h0,
  188.   char_line84=630'h0,
  189.   char_line85=630'h0,
  190.   char_line86=630'h0,
  191.   char_line87=630'h0,
  192.   char_line88=630'h0,
  193.   char_line89=630'h0,
  194.   char_line8a=630'h0,
  195.   char_line8b=630'h0,
  196.   char_line8c=630'h0,
  197.   char_line8d=630'h0,
  198.   char_line8e=630'h0,
  199.   char_line8f=630'h0,
  200.   char_line90=630'h0,
  201.   char_line91=630'h0,
  202.   char_line92=630'h0,
  203.   char_line93=630'h0,
  204.   char_line94=630'h0,
  205.   char_line95=630'h0,
  206.   char_line96=630'h0,
  207.   char_line97=630'h0,
  208.   char_line98=630'h0,
  209.   char_line99=630'h0,
  210.   char_line9a=630'h0,
  211.   char_line9b=630'h0,
  212.   char_line9c=630'h0,
  213.   char_line9d=630'h0,
  214.   char_line9e=630'h0,
  215.   char_line9f=630'h0;

  216. //原码输入=======================================================================

  217. always@(posedge CLOCK2_50)
  218.   begin
  219.    din[0]=0;
  220.         din[1]=0;
  221.         din[2]=0;
  222.         din[4]=0;
  223.         LEDR[0]=0;
  224.         LEDR[1]=0;
  225.         LEDR[2]=0;
  226.         LEDR[4]=0;
  227.        
  228.    if(SW[3]==1) begin
  229.          din[3]=1;
  230.          LEDR[3]=1;
  231.         end
  232.         else begin
  233.          din[3]=0;
  234.          LEDR[3]=0;
  235.         end
  236.        
  237.         if(SW[5]==1) begin
  238.          din[5]=1;
  239.          LEDR[5]=1;
  240.         end
  241.         else begin
  242.          din[5]=0;
  243.          LEDR[5]=0;
  244.         end
  245.        
  246.         if(SW[6]==1) begin
  247.          din[6]=1;
  248.          LEDR[6]=1;
  249.         end
  250.         else begin
  251.          din[6]=0;
  252.          LEDR[6]=0;
  253.         end
  254.        
  255.         if(SW[7]==1) begin
  256.          din[7]=1;
  257.          LEDR[7]=1;
  258.         end
  259.         else begin
  260.          din[7]=0;
  261.          LEDR[7]=0;
  262.         end
  263.   end
  264. //===========================================================================

  265. //原码波形======================================================================
  266. always@(posedge CLOCK2_50)
  267.   begin
  268.    char_line5d[430:355]=76'h7FFF_FFFFF_FFFFF_FFFF;
  269.         char_line5d[330:305]=26'b11111_11111_11111_11111_11111_1;
  270.        
  271.    if(din[3]==1) begin
  272.          char_line42[355:330]=26'b11111_11111_11111_11111_11111_1;
  273.          char_line5d[355:330]=26'b00000_00000_00000_00000_00000_0;
  274.         end
  275.         else begin
  276.          char_line42[355:330]=26'b00000_00000_00000_00000_00000_0;
  277.          char_line5d[355:330]=26'b11111_11111_11111_11111_11111_1;
  278.         end
  279.        
  280.         if(din[5]==1) begin
  281.          char_line42[305:280]=26'b11111_11111_11111_11111_11111_1;
  282.          char_line5d[305:280]=26'b00000_00000_00000_00000_00000_0;
  283.         end
  284.         else begin
  285.          char_line42[305:280]=26'b00000_00000_00000_00000_00000_0;
  286.          char_line5d[305:280]=26'b11111_11111_11111_11111_11111_1;
  287.         end
  288.        
  289.         if(din[6]==1) begin
  290.          char_line42[280:255]=26'b11111_11111_11111_11111_11111_1;
  291.          char_line5d[280:255]=26'b00000_00000_00000_00000_00000_0;
  292.         end
  293.         else begin
  294.          char_line42[280:255]=26'b00000_00000_00000_00000_00000_0;
  295.          char_line5d[280:255]=26'b11111_11111_11111_11111_11111_1;
  296.         end
  297.        
  298.         if(din[7]==1) begin
  299.          char_line42[255:230]=26'b11111_11111_11111_11111_11111_1;
  300.          char_line5d[255:230]=26'b00000_00000_00000_00000_00000_0;
  301.         end
  302.         else begin
  303.     char_line42[255:230]=26'b00000_00000_00000_00000_00000_0;       
  304.          char_line5d[255:230]=26'b11111_11111_11111_11111_11111_1;
  305.    end
  306.                
  307.                
  308.          char_line43[355]=(din[3]==1'b1)?1'b1:1'b0;
  309.          char_line44[355]=(din[3]==1'b1)?1'b1:1'b0;
  310.          char_line45[355]=(din[3]==1'b1)?1'b1:1'b0;
  311.          char_line46[355]=(din[3]==1'b1)?1'b1:1'b0;
  312.          char_line47[355]=(din[3]==1'b1)?1'b1:1'b0;
  313.          char_line48[355]=(din[3]==1'b1)?1'b1:1'b0;
  314.          char_line49[355]=(din[3]==1'b1)?1'b1:1'b0;
  315.          char_line4a[355]=(din[3]==1'b1)?1'b1:1'b0;
  316.          char_line4b[355]=(din[3]==1'b1)?1'b1:1'b0;
  317.          char_line4c[355]=(din[3]==1'b1)?1'b1:1'b0;
  318.          char_line4d[355]=(din[3]==1'b1)?1'b1:1'b0;
  319.          char_line4e[355]=(din[3]==1'b1)?1'b1:1'b0;
  320.          char_line4f[355]=(din[3]==1'b1)?1'b1:1'b0;
  321.          char_line50[355]=(din[3]==1'b1)?1'b1:1'b0;
  322.          char_line51[355]=(din[3]==1'b1)?1'b1:1'b0;
  323.          char_line52[355]=(din[3]==1'b1)?1'b1:1'b0;
  324.          char_line53[355]=(din[3]==1'b1)?1'b1:1'b0;
  325.          char_line54[355]=(din[3]==1'b1)?1'b1:1'b0;
  326.          char_line55[355]=(din[3]==1'b1)?1'b1:1'b0;
  327.          char_line56[355]=(din[3]==1'b1)?1'b1:1'b0;
  328.          char_line57[355]=(din[3]==1'b1)?1'b1:1'b0;
  329.          char_line58[355]=(din[3]==1'b1)?1'b1:1'b0;
  330.          char_line59[355]=(din[3]==1'b1)?1'b1:1'b0;
  331.          char_line5a[355]=(din[3]==1'b1)?1'b1:1'b0;
  332.          char_line5b[355]=(din[3]==1'b1)?1'b1:1'b0;
  333.          char_line5c[355]=(din[3]==1'b1)?1'b1:1'b0;
  334.          
  335.          char_line43[330]=(din[3]==1'b1)?1'b1:1'b0;
  336.          char_line44[330]=(din[3]==1'b1)?1'b1:1'b0;
  337.          char_line45[330]=(din[3]==1'b1)?1'b1:1'b0;
  338.          char_line46[330]=(din[3]==1'b1)?1'b1:1'b0;
  339.          char_line47[330]=(din[3]==1'b1)?1'b1:1'b0;
  340.          char_line48[330]=(din[3]==1'b1)?1'b1:1'b0;
  341.          char_line49[330]=(din[3]==1'b1)?1'b1:1'b0;
  342.          char_line4a[330]=(din[3]==1'b1)?1'b1:1'b0;
  343.          char_line4b[330]=(din[3]==1'b1)?1'b1:1'b0;
  344.          char_line4c[330]=(din[3]==1'b1)?1'b1:1'b0;
  345.          char_line4d[330]=(din[3]==1'b1)?1'b1:1'b0;
  346.          char_line4e[330]=(din[3]==1'b1)?1'b1:1'b0;
  347.          char_line4f[330]=(din[3]==1'b1)?1'b1:1'b0;
  348.          char_line50[330]=(din[3]==1'b1)?1'b1:1'b0;
  349.          char_line51[330]=(din[3]==1'b1)?1'b1:1'b0;
  350.          char_line52[330]=(din[3]==1'b1)?1'b1:1'b0;
  351.          char_line53[330]=(din[3]==1'b1)?1'b1:1'b0;
  352.          char_line54[330]=(din[3]==1'b1)?1'b1:1'b0;
  353.          char_line55[330]=(din[3]==1'b1)?1'b1:1'b0;
  354.          char_line56[330]=(din[3]==1'b1)?1'b1:1'b0;
  355.          char_line57[330]=(din[3]==1'b1)?1'b1:1'b0;
  356.          char_line58[330]=(din[3]==1'b1)?1'b1:1'b0;
  357.          char_line59[330]=(din[3]==1'b1)?1'b1:1'b0;
  358.          char_line5a[330]=(din[3]==1'b1)?1'b1:1'b0;
  359.          char_line5b[330]=(din[3]==1'b1)?1'b1:1'b0;
  360.          char_line5c[330]=(din[3]==1'b1)?1'b1:1'b0;
  361.          
  362.          char_line43[305]=(din[5]==1'b1)?1'b1:1'b0;
  363.          char_line44[305]=(din[5]==1'b1)?1'b1:1'b0;
  364.          char_line45[305]=(din[5]==1'b1)?1'b1:1'b0;
  365.          char_line46[305]=(din[5]==1'b1)?1'b1:1'b0;
  366.          char_line47[305]=(din[5]==1'b1)?1'b1:1'b0;
  367.          char_line48[305]=(din[5]==1'b1)?1'b1:1'b0;
  368.          char_line49[305]=(din[5]==1'b1)?1'b1:1'b0;
  369.          char_line4a[305]=(din[5]==1'b1)?1'b1:1'b0;
  370.          char_line4b[305]=(din[5]==1'b1)?1'b1:1'b0;
  371.          char_line4c[305]=(din[5]==1'b1)?1'b1:1'b0;
  372.          char_line4d[305]=(din[5]==1'b1)?1'b1:1'b0;
  373.          char_line4e[305]=(din[5]==1'b1)?1'b1:1'b0;
  374.          char_line4f[305]=(din[5]==1'b1)?1'b1:1'b0;
  375.          char_line50[305]=(din[5]==1'b1)?1'b1:1'b0;
  376.          char_line51[305]=(din[5]==1'b1)?1'b1:1'b0;
  377.          char_line52[305]=(din[5]==1'b1)?1'b1:1'b0;
  378.          char_line53[305]=(din[5]==1'b1)?1'b1:1'b0;
  379.          char_line54[305]=(din[5]==1'b1)?1'b1:1'b0;
  380.          char_line55[305]=(din[5]==1'b1)?1'b1:1'b0;
  381.          char_line56[305]=(din[5]==1'b1)?1'b1:1'b0;
  382.          char_line57[305]=(din[5]==1'b1)?1'b1:1'b0;
  383.          char_line58[305]=(din[5]==1'b1)?1'b1:1'b0;
  384.          char_line59[305]=(din[5]==1'b1)?1'b1:1'b0;
  385.          char_line5a[305]=(din[5]==1'b1)?1'b1:1'b0;
  386.          char_line5b[305]=(din[5]==1'b1)?1'b1:1'b0;
  387.          char_line5c[305]=(din[5]==1'b1)?1'b1:1'b0;
  388.          
  389.          char_line43[280]=(din[5]!=din[6])?1'b1:1'b0;
  390.          char_line44[280]=(din[5]!=din[6])?1'b1:1'b0;
  391.          char_line45[280]=(din[5]!=din[6])?1'b1:1'b0;
  392.          char_line46[280]=(din[5]!=din[6])?1'b1:1'b0;
  393.          char_line47[280]=(din[5]!=din[6])?1'b1:1'b0;
  394.          char_line48[280]=(din[5]!=din[6])?1'b1:1'b0;
  395.          char_line49[280]=(din[5]!=din[6])?1'b1:1'b0;
  396.          char_line4a[280]=(din[5]!=din[6])?1'b1:1'b0;
  397.          char_line4b[280]=(din[5]!=din[6])?1'b1:1'b0;
  398.          char_line4c[280]=(din[5]!=din[6])?1'b1:1'b0;
  399.          char_line4d[280]=(din[5]!=din[6])?1'b1:1'b0;
  400.          char_line4e[280]=(din[5]!=din[6])?1'b1:1'b0;
  401.          char_line4f[280]=(din[5]!=din[6])?1'b1:1'b0;
  402.          char_line50[280]=(din[5]!=din[6])?1'b1:1'b0;
  403.          char_line51[280]=(din[5]!=din[6])?1'b1:1'b0;
  404.          char_line52[280]=(din[5]!=din[6])?1'b1:1'b0;
  405.          char_line53[280]=(din[5]!=din[6])?1'b1:1'b0;
  406.          char_line54[280]=(din[5]!=din[6])?1'b1:1'b0;
  407.          char_line55[280]=(din[5]!=din[6])?1'b1:1'b0;
  408.          char_line56[280]=(din[5]!=din[6])?1'b1:1'b0;
  409.          char_line57[280]=(din[5]!=din[6])?1'b1:1'b0;
  410.          char_line58[280]=(din[5]!=din[6])?1'b1:1'b0;
  411.          char_line59[280]=(din[5]!=din[6])?1'b1:1'b0;
  412.          char_line5a[280]=(din[5]!=din[6])?1'b1:1'b0;
  413.          char_line5b[280]=(din[5]!=din[6])?1'b1:1'b0;
  414.          char_line5c[280]=(din[5]!=din[6])?1'b1:1'b0;
  415.          
  416.          char_line43[255]=(din[7]!=din[6])?1'b1:1'b0;
  417.          char_line44[255]=(din[7]!=din[6])?1'b1:1'b0;
  418.          char_line45[255]=(din[7]!=din[6])?1'b1:1'b0;
  419.          char_line46[255]=(din[7]!=din[6])?1'b1:1'b0;
  420.          char_line47[255]=(din[7]!=din[6])?1'b1:1'b0;
  421.          char_line48[255]=(din[7]!=din[6])?1'b1:1'b0;
  422.          char_line49[255]=(din[7]!=din[6])?1'b1:1'b0;
  423.          char_line4a[255]=(din[7]!=din[6])?1'b1:1'b0;
  424.          char_line4b[255]=(din[7]!=din[6])?1'b1:1'b0;
  425.          char_line4c[255]=(din[7]!=din[6])?1'b1:1'b0;
  426.          char_line4d[255]=(din[7]!=din[6])?1'b1:1'b0;
  427.          char_line4e[255]=(din[7]!=din[6])?1'b1:1'b0;
  428.          char_line4f[255]=(din[7]!=din[6])?1'b1:1'b0;
  429.          char_line50[255]=(din[7]!=din[6])?1'b1:1'b0;
  430.          char_line51[255]=(din[7]!=din[6])?1'b1:1'b0;
  431.          char_line52[255]=(din[7]!=din[6])?1'b1:1'b0;
  432.          char_line53[255]=(din[7]!=din[6])?1'b1:1'b0;
  433.          char_line54[255]=(din[7]!=din[6])?1'b1:1'b0;
  434.          char_line55[255]=(din[7]!=din[6])?1'b1:1'b0;
  435.          char_line56[255]=(din[7]!=din[6])?1'b1:1'b0;
  436.          char_line57[255]=(din[7]!=din[6])?1'b1:1'b0;
  437.          char_line58[255]=(din[7]!=din[6])?1'b1:1'b0;
  438.          char_line59[255]=(din[7]!=din[6])?1'b1:1'b0;
  439.          char_line5a[255]=(din[7]!=din[6])?1'b1:1'b0;
  440.          char_line5b[255]=(din[7]!=din[6])?1'b1:1'b0;
  441.          char_line5c[255]=(din[7]!=din[6])?1'b1:1'b0;
  442.        
  443.   end
  444. //=============================================================================================

  445. //编码波形====================================================================
  446. always@(posedge CLOCK2_50)
  447.   begin
  448.    if(cccin[0]==1) begin
  449.          char_line62[430:405]=26'b11111_11111_11111_11111_11111_1;
  450.          char_line7d[430:405]=26'b00000_00000_00000_00000_00000_0;
  451.         end
  452.         else begin
  453.          char_line62[430:405]=26'b00000_00000_00000_00000_00000_0;
  454.          char_line7d[430:405]=26'b11111_11111_11111_11111_11111_1;
  455.         end
  456.        
  457.         if(cccin[1]==1) begin
  458.          char_line62[405:380]=26'b11111_11111_11111_11111_11111_1;
  459.          char_line7d[405:380]=26'b00000_00000_00000_00000_00000_0;
  460.         end
  461.         else begin
  462.          char_line62[405:380]=26'b00000_00000_00000_00000_00000_0;
  463.          char_line7d[405:380]=26'b11111_11111_11111_11111_11111_1;
  464.         end
  465.        
  466.         if(cccin[2]==1) begin
  467.          char_line62[380:355]=26'b11111_11111_11111_11111_11111_1;
  468.          char_line7d[380:355]=26'b00000_00000_00000_00000_00000_0;
  469.         end
  470.         else begin
  471.          char_line62[380:355]=26'b00000_00000_00000_00000_00000_0;
  472.          char_line7d[380:355]=26'b11111_11111_11111_11111_11111_1;
  473.         end
  474.        
  475.    if(cccin[3]==1) begin
  476.          char_line62[355:330]=26'b11111_11111_11111_11111_11111_1;
  477.          char_line7d[355:330]=26'b00000_00000_00000_00000_00000_0;
  478.         end
  479.         else begin
  480.          char_line62[355:330]=26'b00000_00000_00000_00000_00000_0;
  481.          char_line7d[355:330]=26'b11111_11111_11111_11111_11111_1;
  482.         end
  483.        
  484.         if(cccin[4]==1) begin
  485.          char_line62[330:305]=26'b11111_11111_11111_11111_11111_1;
  486.          char_line7d[330:305]=26'b00000_00000_00000_00000_00000_0;
  487.         end
  488.         else begin
  489.          char_line62[330:305]=26'b00000_00000_00000_00000_00000_0;
  490.          char_line7d[330:305]=26'b11111_11111_11111_11111_11111_1;
  491.         end
  492.        
  493.         if(cccin[5]==1) begin
  494.          char_line62[305:280]=26'b11111_11111_11111_11111_11111_1;
  495.          char_line7d[305:280]=26'b00000_00000_00000_00000_00000_0;
  496.         end
  497.         else begin
  498.          char_line62[305:280]=26'b00000_00000_00000_00000_00000_0;
  499.          char_line7d[305:280]=26'b11111_11111_11111_11111_11111_1;
  500.         end
  501.        
  502.         if(cccin[6]==1) begin
  503.          char_line62[280:255]=26'b11111_11111_11111_11111_11111_1;
  504.          char_line7d[280:255]=26'b00000_00000_00000_00000_00000_0;
  505.         end
  506.         else begin
  507.          char_line62[280:255]=26'b00000_00000_00000_00000_00000_0;
  508.          char_line7d[280:255]=26'b11111_11111_11111_11111_11111_1;
  509.         end
  510.        
  511.         if(cccin[7]==1) begin
  512.          char_line62[255:230]=26'b11111_11111_11111_11111_11111_1;
  513.          char_line7d[255:230]=26'b00000_00000_00000_00000_00000_0;
  514.         end
  515.         else begin
  516.     char_line62[255:230]=26'b00000_00000_00000_00000_00000_0;       
  517.          char_line7d[255:230]=26'b11111_11111_11111_11111_11111_1;
  518.    end
  519.                
  520.                
  521.          char_line63[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  522.          char_line64[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  523.          char_line65[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  524.          char_line66[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  525.          char_line67[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  526.          char_line68[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  527.          char_line69[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  528.          char_line6a[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  529.          char_line6b[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  530.          char_line6c[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  531.          char_line6d[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  532.          char_line6e[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  533.          char_line6f[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  534.          char_line70[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  535.          char_line71[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  536.          char_line72[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  537.          char_line73[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  538.          char_line74[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  539.          char_line75[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  540.          char_line76[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  541.          char_line77[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  542.          char_line78[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  543.          char_line79[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  544.          char_line7a[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  545.          char_line7b[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;
  546.          char_line7c[405]=(cccin[0]!=cccin[1])?1'b1:1'b0;

  547.          char_line63[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  548.          char_line64[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  549.          char_line65[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  550.          char_line66[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  551.          char_line67[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  552.          char_line68[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  553.          char_line69[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  554.          char_line6a[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  555.          char_line6b[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  556.          char_line6c[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  557.          char_line6d[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  558.          char_line6e[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  559.          char_line6f[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  560.          char_line70[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  561.          char_line71[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  562.          char_line72[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  563.          char_line73[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  564.          char_line74[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  565.          char_line75[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  566.          char_line76[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  567.          char_line77[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  568.          char_line78[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  569.          char_line79[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  570.          char_line7a[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  571.          char_line7b[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;
  572.          char_line7c[380]=(cccin[1]!=cccin[2])?1'b1:1'b0;

  573.          char_line63[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  574.          char_line64[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  575.          char_line65[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  576.          char_line66[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  577.          char_line67[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  578.          char_line68[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  579.          char_line69[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  580.          char_line6a[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  581.          char_line6b[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  582.          char_line6c[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  583.          char_line6d[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  584.          char_line6e[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  585.          char_line6f[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  586.          char_line70[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  587.          char_line71[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  588.          char_line72[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  589.          char_line73[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  590.          char_line74[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  591.          char_line75[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  592.          char_line76[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  593.          char_line77[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  594.          char_line78[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  595.          char_line79[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  596.          char_line7a[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  597.          char_line7b[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;
  598.          char_line7c[355]=(cccin[2]!=cccin[3])?1'b1:1'b0;

  599.          char_line63[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  600.          char_line64[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  601.          char_line65[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  602.          char_line66[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  603.          char_line67[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  604.          char_line68[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  605.          char_line69[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  606.          char_line6a[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  607.          char_line6b[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  608.          char_line6c[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  609.          char_line6d[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  610.          char_line6e[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  611.          char_line6f[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  612.          char_line70[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  613.          char_line71[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  614.          char_line72[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  615.          char_line73[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  616.          char_line74[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  617.          char_line75[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  618.          char_line76[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  619.          char_line77[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  620.          char_line78[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  621.          char_line79[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  622.          char_line7a[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  623.          char_line7b[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;
  624.          char_line7c[330]=(cccin[3]!=cccin[4])?1'b1:1'b0;

  625.          char_line63[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  626.          char_line64[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  627.          char_line65[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  628.          char_line66[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  629.          char_line67[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  630.          char_line68[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  631.          char_line69[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  632.          char_line6a[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  633.          char_line6b[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  634.          char_line6c[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  635.          char_line6d[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  636.          char_line6e[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  637.          char_line6f[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  638.          char_line70[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  639.          char_line71[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  640.          char_line72[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  641.          char_line73[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  642.          char_line74[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  643.          char_line75[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  644.          char_line76[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  645.          char_line77[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  646.          char_line78[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  647.          char_line79[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  648.          char_line7a[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  649.          char_line7b[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;
  650.          char_line7c[305]=(cccin[4]!=cccin[5])?1'b1:1'b0;

  651.          char_line63[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  652.          char_line64[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  653.          char_line65[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  654.          char_line66[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  655.          char_line67[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  656.          char_line68[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  657.          char_line69[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  658.          char_line6a[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  659.          char_line6b[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  660.          char_line6c[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  661.          char_line6d[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  662.          char_line6e[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  663.          char_line6f[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  664.          char_line70[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  665.          char_line71[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  666.          char_line72[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  667.          char_line73[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  668.          char_line74[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  669.          char_line75[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  670.          char_line76[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  671.          char_line77[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  672.          char_line78[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  673.          char_line79[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  674.          char_line7a[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  675.          char_line7b[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;
  676.          char_line7c[280]=(cccin[5]!=cccin[6])?1'b1:1'b0;

  677.          char_line63[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  678.          char_line64[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  679.          char_line65[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  680.          char_line66[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  681.          char_line67[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  682.          char_line68[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  683.          char_line69[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  684.          char_line6a[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  685.          char_line6b[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  686.          char_line6c[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  687.          char_line6d[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  688.          char_line6e[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  689.          char_line6f[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  690.          char_line70[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  691.          char_line71[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  692.          char_line72[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  693.          char_line73[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  694.          char_line74[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  695.          char_line75[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  696.          char_line76[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  697.          char_line77[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  698.          char_line78[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  699.          char_line79[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  700.          char_line7a[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  701.          char_line7b[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  702.          char_line7c[255]=(cccin[6]!=cccin[7])?1'b1:1'b0;
  703. end
  704. //=========================================================================

  705. //译码波形===================================================================
  706. always@(posedge CLOCK2_50)
  707.   begin
  708.    if(dout[0]==1) begin
  709.          char_line82[430:405]=26'b11111_11111_11111_11111_11111_1;
  710.          char_line9d[430:405]=26'b00000_00000_00000_00000_00000_0;
  711.         end
  712.         else begin
  713.          char_line82[430:405]=26'b00000_00000_00000_00000_00000_0;
  714.          char_line9d[430:405]=26'b11111_11111_11111_11111_11111_1;
  715.         end
  716.        
  717.         if(dout[1]==1) begin
  718.          char_line82[405:380]=26'b11111_11111_11111_11111_11111_1;
  719.          char_line9d[405:380]=26'b00000_00000_00000_00000_00000_0;
  720.         end
  721.         else begin
  722.          char_line82[405:380]=26'b00000_00000_00000_00000_00000_0;
  723.          char_line9d[405:380]=26'b11111_11111_11111_11111_11111_1;
  724.         end
  725.        
  726.         if(dout[2]==1) begin
  727.          char_line82[380:355]=26'b11111_11111_11111_11111_11111_1;
  728.          char_line9d[380:355]=26'b00000_00000_00000_00000_00000_0;
  729.         end
  730.         else begin
  731.          char_line82[380:355]=26'b00000_00000_00000_00000_00000_0;
  732.          char_line9d[380:355]=26'b11111_11111_11111_11111_11111_1;
  733.         end
  734.        
  735.    if(dout[3]==1) begin
  736.          char_line82[355:330]=26'b11111_11111_11111_11111_11111_1;
  737.          char_line9d[355:330]=26'b00000_00000_00000_00000_00000_0;
  738.         end
  739.         else begin
  740.          char_line82[355:330]=26'b00000_00000_00000_00000_00000_0;
  741.          char_line9d[355:330]=26'b11111_11111_11111_11111_11111_1;
  742.         end
  743.        
  744.         if(dout[4]==1) begin
  745.          char_line82[330:305]=26'b11111_11111_11111_11111_11111_1;
  746.          char_line9d[330:305]=26'b00000_00000_00000_00000_00000_0;
  747.         end
  748.         else begin
  749.          char_line82[330:305]=26'b00000_00000_00000_00000_00000_0;
  750.          char_line9d[330:305]=26'b11111_11111_11111_11111_11111_1;
  751.         end
  752.        
  753.         if(dout[5]==1) begin
  754.          char_line82[305:280]=26'b11111_11111_11111_11111_11111_1;
  755.          char_line9d[305:280]=26'b00000_00000_00000_00000_00000_0;
  756.         end
  757.         else begin
  758.          char_line82[305:280]=26'b00000_00000_00000_00000_00000_0;
  759.          char_line9d[305:280]=26'b11111_11111_11111_11111_11111_1;
  760.         end
  761.        
  762.         if(din[6]==1) begin
  763.          char_line82[280:255]=26'b11111_11111_11111_11111_11111_1;
  764.          char_line9d[280:255]=26'b00000_00000_00000_00000_00000_0;
  765.         end
  766.         else begin
  767.          char_line82[280:255]=26'b00000_00000_00000_00000_00000_0;
  768.          char_line9d[280:255]=26'b11111_11111_11111_11111_11111_1;
  769.         end
  770.        
  771.         if(din[7]==1) begin
  772.          char_line82[255:230]=26'b11111_11111_11111_11111_11111_1;
  773.          char_line9d[255:230]=26'b00000_00000_00000_00000_00000_0;
  774.         end
  775.         else begin
  776.     char_line82[255:230]=26'b00000_00000_00000_00000_00000_0;       
  777.          char_line9d[255:230]=26'b11111_11111_11111_11111_11111_1;
  778.    end
  779.                
  780.                
  781.          char_line83[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  782.          char_line84[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  783.          char_line85[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  784.          char_line86[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  785.          char_line87[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  786.          char_line88[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  787.          char_line89[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  788.          char_line8a[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  789.          char_line8b[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  790.          char_line8c[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  791.          char_line8d[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  792.          char_line8e[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  793.          char_line8f[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  794.          char_line90[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  795.          char_line91[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  796.          char_line92[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  797.          char_line93[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  798.          char_line94[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  799.          char_line95[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  800.          char_line96[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  801.          char_line97[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  802.          char_line98[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  803.          char_line99[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  804.          char_line9a[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  805.          char_line9b[405]=(dout[0]!=dout[1])?1'b1:1'b0;
  806.          char_line9c[405]=(dout[0]!=dout[1])?1'b1:1'b0;

  807.          char_line83[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  808.          char_line84[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  809.          char_line85[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  810.          char_line86[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  811.          char_line87[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  812.          char_line88[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  813.          char_line89[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  814.          char_line8a[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  815.          char_line8b[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  816.          char_line8c[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  817.          char_line8d[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  818.          char_line8e[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  819.          char_line8f[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  820.          char_line90[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  821.          char_line91[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  822.          char_line92[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  823.          char_line93[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  824.          char_line94[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  825.          char_line95[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  826.          char_line96[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  827.          char_line97[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  828.          char_line98[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  829.          char_line99[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  830.          char_line9a[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  831.          char_line9b[380]=(dout[1]!=dout[2])?1'b1:1'b0;
  832.          char_line9c[380]=(dout[1]!=dout[2])?1'b1:1'b0;

  833.          char_line83[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  834.          char_line84[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  835.          char_line85[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  836.          char_line86[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  837.          char_line87[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  838.          char_line88[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  839.          char_line89[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  840.          char_line8a[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  841.          char_line8b[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  842.          char_line8c[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  843.          char_line8d[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  844.          char_line8e[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  845.          char_line8f[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  846.          char_line90[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  847.          char_line91[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  848.          char_line92[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  849.          char_line93[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  850.          char_line94[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  851.          char_line95[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  852.          char_line96[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  853.          char_line97[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  854.          char_line98[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  855.          char_line99[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  856.          char_line9a[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  857.          char_line9b[355]=(dout[2]!=dout[3])?1'b1:1'b0;
  858.          char_line9c[355]=(dout[2]!=dout[3])?1'b1:1'b0;

  859.          char_line83[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  860.          char_line84[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  861.          char_line85[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  862.          char_line86[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  863.          char_line87[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  864.          char_line88[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  865.          char_line89[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  866.          char_line8a[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  867.          char_line8b[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  868.          char_line8c[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  869.          char_line8d[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  870.          char_line8e[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  871.          char_line8f[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  872.          char_line90[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  873.          char_line91[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  874.          char_line92[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  875.          char_line93[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  876.          char_line94[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  877.          char_line95[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  878.          char_line96[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  879.          char_line97[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  880.          char_line98[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  881.          char_line99[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  882.          char_line9a[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  883.          char_line9b[330]=(dout[3]!=dout[4])?1'b1:1'b0;
  884.          char_line9c[330]=(dout[3]!=dout[4])?1'b1:1'b0;

  885.          char_line83[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  886.          char_line84[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  887.          char_line85[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  888.          char_line86[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  889.          char_line87[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  890.          char_line88[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  891.          char_line89[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  892.          char_line8a[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  893.          char_line8b[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  894.          char_line8c[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  895.          char_line8d[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  896.          char_line8e[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  897.          char_line8f[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  898.          char_line90[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  899.          char_line91[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  900.          char_line92[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  901.          char_line93[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  902.          char_line94[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  903.          char_line95[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  904.          char_line96[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  905.          char_line97[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  906.          char_line98[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  907.          char_line99[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  908.          char_line9a[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  909.          char_line9b[305]=(dout[4]!=dout[5])?1'b1:1'b0;
  910.          char_line9c[305]=(dout[4]!=dout[5])?1'b1:1'b0;

  911.          char_line83[280]=(dout[5]!=din[6])?1'b1:1'b0;
  912.          char_line84[280]=(dout[5]!=din[6])?1'b1:1'b0;
  913.          char_line85[280]=(dout[5]!=din[6])?1'b1:1'b0;
  914.          char_line86[280]=(dout[5]!=din[6])?1'b1:1'b0;
  915.          char_line87[280]=(dout[5]!=din[6])?1'b1:1'b0;
  916.          char_line88[280]=(dout[5]!=din[6])?1'b1:1'b0;
  917.          char_line89[280]=(dout[5]!=din[6])?1'b1:1'b0;
  918.          char_line8a[280]=(dout[5]!=din[6])?1'b1:1'b0;
  919.          char_line8b[280]=(dout[5]!=din[6])?1'b1:1'b0;
  920.          char_line8c[280]=(dout[5]!=din[6])?1'b1:1'b0;
  921.          char_line8d[280]=(dout[5]!=din[6])?1'b1:1'b0;
  922.          char_line8e[280]=(dout[5]!=din[6])?1'b1:1'b0;
  923.          char_line8f[280]=(dout[5]!=din[6])?1'b1:1'b0;
  924.          char_line90[280]=(dout[5]!=din[6])?1'b1:1'b0;
  925.          char_line91[280]=(dout[5]!=din[6])?1'b1:1'b0;
  926.          char_line92[280]=(dout[5]!=din[6])?1'b1:1'b0;
  927.          char_line93[280]=(dout[5]!=din[6])?1'b1:1'b0;
  928.          char_line94[280]=(dout[5]!=din[6])?1'b1:1'b0;
  929.          char_line95[280]=(dout[5]!=din[6])?1'b1:1'b0;
  930.          char_line96[280]=(dout[5]!=din[6])?1'b1:1'b0;
  931.          char_line97[280]=(dout[5]!=din[6])?1'b1:1'b0;
  932.          char_line98[280]=(dout[5]!=din[6])?1'b1:1'b0;
  933.          char_line99[280]=(dout[5]!=din[6])?1'b1:1'b0;
  934.          char_line9a[280]=(dout[5]!=din[6])?1'b1:1'b0;
  935.          char_line9b[280]=(dout[5]!=din[6])?1'b1:1'b0;
  936.          char_line9c[280]=(dout[5]!=din[6])?1'b1:1'b0;

  937.          char_line83[255]=(din[6]!=din[7])?1'b1:1'b0;
  938.          char_line84[255]=(din[6]!=din[7])?1'b1:1'b0;
  939.          char_line85[255]=(din[6]!=din[7])?1'b1:1'b0;
  940.          char_line86[255]=(din[6]!=din[7])?1'b1:1'b0;
  941.          char_line87[255]=(din[6]!=din[7])?1'b1:1'b0;
  942.          char_line88[255]=(din[6]!=din[7])?1'b1:1'b0;
  943.          char_line89[255]=(din[6]!=din[7])?1'b1:1'b0;
  944.          char_line8a[255]=(din[6]!=din[7])?1'b1:1'b0;
  945.          char_line8b[255]=(din[6]!=din[7])?1'b1:1'b0;
  946.          char_line8c[255]=(din[6]!=din[7])?1'b1:1'b0;
  947.          char_line8d[255]=(din[6]!=din[7])?1'b1:1'b0;
  948.          char_line8e[255]=(din[6]!=din[7])?1'b1:1'b0;
  949.          char_line8f[255]=(din[6]!=din[7])?1'b1:1'b0;
  950.          char_line90[255]=(din[6]!=din[7])?1'b1:1'b0;
  951.          char_line91[255]=(din[6]!=din[7])?1'b1:1'b0;
  952.          char_line92[255]=(din[6]!=din[7])?1'b1:1'b0;
  953.          char_line93[255]=(din[6]!=din[7])?1'b1:1'b0;
  954.          char_line94[255]=(din[6]!=din[7])?1'b1:1'b0;
  955.          char_line95[255]=(din[6]!=din[7])?1'b1:1'b0;
  956.          char_line96[255]=(din[6]!=din[7])?1'b1:1'b0;
  957.          char_line97[255]=(din[6]!=din[7])?1'b1:1'b0;
  958.          char_line98[255]=(din[6]!=din[7])?1'b1:1'b0;
  959.          char_line99[255]=(din[6]!=din[7])?1'b1:1'b0;
  960.          char_line9a[255]=(din[6]!=din[7])?1'b1:1'b0;
  961.          char_line9b[255]=(din[6]!=din[7])?1'b1:1'b0;
  962.          char_line9c[255]=(din[6]!=din[7])?1'b1:1'b0;
  963. end

  964. always@(posedge CLOCK2_50)
  965.   begin
  966.    CLK_25=~CLK_25;         //时钟
  967.   end
  968. assign VGA_SYNC_N = 1'b0;   //同步信号低电平
  969. assign VGA_BLANK_N = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平
  970. assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反
  971. assign CLK_to_DAC = CLK_25;
  972. always@(posedge CLK_to_DAC)
  973.   begin
  974.    if(H_Cont<H_TOTAL)           //如果行计数器小于行总时长
  975.     H_Cont<=H_Cont+1'b1;      //行计数器+1
  976.    else H_Cont<=0;              //否则行计数器清零
  977.    if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1
  978.     VGA_HS<=1'b0;             //行同步信号置0
  979.    if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1
  980.     VGA_HS<=1'b1;             //行同步信号置1
  981.    if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长
  982.     X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)
  983.    else X<=0;                   //否则X为0
  984.   end
  985. always@(posedge VGA_HS)
  986.   begin
  987.    if(V_Cont<V_TOTAL)           //如果场计数器小于行总时长
  988.     V_Cont<=V_Cont+1'b1;      //场计数器+1
  989.    else V_Cont<=0;              //否则场计数器清零
  990.    if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1
  991.     VGA_VS<=1'b0;             //场同步信号置0
  992.    if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1
  993.     VGA_VS<=1'b1;             //场同步信号置1
  994.    if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长
  995.     Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  
  996.    else Y<=0;                   //否则Y为0
  997.   end
  998. reg valid_yr;
  999. always@(posedge CLK_to_DAC)
  1000.   if(V_Cont == 10'd32)         //场计数器=32时
  1001.       valid_yr<=1'b1;           //行输入激活
  1002.   else if(V_Cont==10'd512)     //场计数器=512时
  1003.       valid_yr<=1'b0;           //行输入冻结
  1004.   wire valid_y=valid_yr;       //连线   
  1005.   reg valid_r;            
  1006. always@(posedge CLK_to_DAC)   
  1007.   if((H_Cont == 10'd32)&&valid_y)     //行计数器=32时
  1008.       valid_r<=1'b1;                   //像素输入激活
  1009.   else if((H_Cont==10'd512)&&valid_y) //行计数器=512时
  1010.       valid_r<=1'b0;                   //像素输入冻结
  1011. wire valid = valid_r;               //连线
  1012. wire[10:0] x_dis;     //像素显示控制信号
  1013. wire[10:0] y_dis;     //行显示控制信号
  1014. assign x_dis=X;       //连线X
  1015. assign y_dis=Y;       //连线Y
  1016.   
  1017. reg[9:0] char_bit,charsin_bit;
  1018.          
  1019.          always@(posedge CLK_to_DAC)
  1020.         if(X==10'd0)
  1021.                   begin
  1022.                   char_bit<=10'd630;   //当显示到144像素时准备开始输出图像数据
  1023.         charsin_bit<=10'd16;
  1024.                   end
  1025.                   else if(X>10'd0&&X<10'd630)            //左边距屏幕144像素到416像素时    416=144+272(图像宽度)
  1026.             begin
  1027.                                 charsin_bit<=charsin_bit-1'b1;
  1028.                                 char_bit<=char_bit-1'b1;       //倒着输出图像信息
  1029.             end     
  1030.                   reg[29:0] vga_rgb;                //定义颜色缓存
  1031.     always@(posedge CLK_to_DAC)
  1032.         if(X>10'd0&&X<10'd630)    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素
  1033.             begin case(Y)                                 //Y控制图像的纵向显示边界:从距离屏幕顶部160像素开始显示第一行数据
  1034.                                     10'd50:
  1035.                                          if(char_line10[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1036.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1037.                                          10'd51:
  1038.                                          if(char_line11[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1039.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1040.                                          10'd52:
  1041.                                          if(char_line12[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1042.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1043.                                          10'd53:
  1044.                                          if(char_line13[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1045.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1046.                                          10'd54:
  1047.                                          if(char_line14[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1048.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1049.                                          10'd55:
  1050.                                          if(char_line15[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1051.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1052.                                          10'd56:
  1053.                                          if(char_line16[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1054.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1055.                                          10'd57:
  1056.                                          if(char_line17[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1057.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1058.                                          10'd58:
  1059.                                          if(char_line18[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1060.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1061.                                          10'd59:
  1062.                                          if(char_line19[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1063.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1064.                                          10'd60:
  1065.                                          if(char_line1a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1066.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1067.                                          10'd61:
  1068.                                          if(char_line1b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1069.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1070.                                          10'd62:
  1071.                                          if(char_line1c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1072.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1073.                                          10'd63:
  1074.                                          if(char_line1d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1075.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1076.                                          10'd64:
  1077.                                          if(char_line1e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1078.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1079.                                          10'd65:
  1080.                                          if(char_line1f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1081.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1082.                                                                                   
  1083.                                
  1084.                                          10'd85:
  1085.                                          if(char_line40[char_bit])vga_rgb<=30'b0;
  1086.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1087.                                          10'd86:
  1088.                                          if(char_line41[char_bit])vga_rgb<=30'b0;
  1089.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1090.                                          10'd87:
  1091.                                          if(char_line42[char_bit])vga_rgb<=30'b0;
  1092.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1093.                                          10'd88:
  1094.                                          if(char_line43[char_bit])vga_rgb<=30'b0;
  1095.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1096.                                          10'd89:
  1097.                                          if(char_line44[char_bit])vga_rgb<=30'b0;
  1098.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1099.                                          10'd90:
  1100.                                          if(char_line45[char_bit])vga_rgb<=30'b0;
  1101.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1102.                                          10'd91:
  1103.                                          if(char_line46[char_bit])vga_rgb<=30'b0;
  1104.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1105.                                          10'd92:
  1106.                                          if(char_line47[char_bit])vga_rgb<=30'b0;
  1107.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1108.                                          10'd93:
  1109.                                          if(char_line48[char_bit])vga_rgb<=30'b0;
  1110.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1111.                                          10'd94:
  1112.                                          if(char_line49[char_bit])vga_rgb<=30'b0;
  1113.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1114.                                          10'd95:
  1115.                                          if(char_line4a[char_bit])vga_rgb<=30'b0;
  1116.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1117.                                          10'd96:
  1118.                                          if(char_line4b[char_bit])vga_rgb<=30'b0;
  1119.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1120.                                          10'd97:
  1121.                                          if(char_line4c[char_bit])vga_rgb<=30'b0;
  1122.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1123.                                          10'd98:
  1124.                                          if(char_line4d[char_bit])vga_rgb<=30'b0;
  1125.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1126.                                          10'd99:
  1127.                                          if(char_line4e[char_bit])vga_rgb<=30'b0;
  1128.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1129.                                          10'd100:
  1130.                                          if(char_line4f[char_bit])vga_rgb<=30'b0;
  1131.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1132.                                          10'd101:
  1133.                                          if(char_line50[char_bit])vga_rgb<=30'b0;
  1134.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1135.                                          10'd102:
  1136.                                          if(char_line51[char_bit])vga_rgb<=30'b0;
  1137.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1138.                                          10'd103:
  1139.                                          if(char_line52[char_bit])vga_rgb<=30'b0;
  1140.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1141.                                          10'd104:
  1142.                                          if(char_line53[char_bit])vga_rgb<=30'b0;
  1143.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1144.                                          10'd105:
  1145.                                          if(char_line54[char_bit])vga_rgb<=30'b0;
  1146.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1147.                                          10'd106:
  1148.                                          if(char_line55[char_bit])vga_rgb<=30'b0;
  1149.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1150.                                          10'd107:
  1151.                                          if(char_line56[char_bit])vga_rgb<=30'b0;
  1152.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1153.                                          10'd108:
  1154.                                          if(char_line57[char_bit])vga_rgb<=30'b0;
  1155.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1156.                                          10'd109:
  1157.                                          if(char_line58[char_bit])vga_rgb<=30'b0;
  1158.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1159.                                          10'd110:
  1160.                                          if(char_line59[char_bit])vga_rgb<=30'b0;
  1161.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1162.                                          10'd111:
  1163.                                          if(char_line5a[char_bit])vga_rgb<=30'b0;
  1164.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1165.                                          10'd112:
  1166.                                          if(char_line5b[char_bit])vga_rgb<=30'b0;
  1167.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1168.                                          10'd113:
  1169.                                          if(char_line5c[char_bit])vga_rgb<=30'b0;
  1170.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1171.                                          10'd114:
  1172.                                          if(char_line5d[char_bit])vga_rgb<=30'b0;
  1173.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1174.                                          10'd115:
  1175.                                          if(char_line5e[char_bit])vga_rgb<=30'b0;
  1176.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1177.                                          10'd116:
  1178.                                          if(char_line5f[char_bit])vga_rgb<=30'b0;
  1179.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1180.                                
  1181.                                          
  1182.                                          10'd171:
  1183.                                          if(char_line20[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1184.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1185.                                          10'd172:
  1186.                                          if(char_line21[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1187.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1188.                                          10'd173:
  1189.                                          if(char_line22[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1190.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1191.                                          10'd174:
  1192.                                          if(char_line23[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1193.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1194.                                          10'd175:
  1195.                                          if(char_line24[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1196.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1197.                                          10'd176:
  1198.                                          if(char_line25[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1199.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1200.                                          10'd177:
  1201.                                          if(char_line26[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1202.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1203.                                          10'd178:
  1204.                                          if(char_line27[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1205.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1206.                                          10'd179:
  1207.                                          if(char_line28[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1208.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1209.                                          10'd180:
  1210.                                          if(char_line29[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1211.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1212.                                          10'd181:
  1213.                                          if(char_line2a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1214.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1215.                                          10'd182:
  1216.                                          if(char_line2b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1217.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1218.                                          10'd183:
  1219.                                          if(char_line2c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1220.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1221.                                          10'd184:
  1222.                                          if(char_line2d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1223.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1224.                                          10'd185:
  1225.                                          if(char_line2e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1226.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1227.                                          10'd186:
  1228.                                          if(char_line2f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1229.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1230.                                          
  1231.                                          10'd206:
  1232.                                          if(char_line60[char_bit])vga_rgb<=30'b0;
  1233.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1234.                                          10'd207:
  1235.                                          if(char_line61[char_bit])vga_rgb<=30'b0;
  1236.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1237.                                          10'd208:
  1238.                                          if(char_line62[char_bit])vga_rgb<=30'b0;
  1239.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1240.                                          10'd209:
  1241.                                          if(char_line63[char_bit])vga_rgb<=30'b0;
  1242.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1243.                                          10'd210:
  1244.                                          if(char_line64[char_bit])vga_rgb<=30'b0;
  1245.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1246.                                          10'd211:
  1247.                                          if(char_line65[char_bit])vga_rgb<=30'b0;
  1248.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1249.                                          10'd212:
  1250.                                          if(char_line66[char_bit])vga_rgb<=30'b0;
  1251.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1252.                                          10'd213:
  1253.                                          if(char_line67[char_bit])vga_rgb<=30'b0;
  1254.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1255.                                          10'd214:
  1256.                                          if(char_line68[char_bit])vga_rgb<=30'b0;
  1257.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1258.                                          10'd215:
  1259.                                          if(char_line69[char_bit])vga_rgb<=30'b0;
  1260.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1261.                                          10'd216:
  1262.                                          if(char_line6a[char_bit])vga_rgb<=30'b0;
  1263.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1264.                                          10'd217:
  1265.                                          if(char_line6b[char_bit])vga_rgb<=30'b0;
  1266.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1267.                                          10'd218:
  1268.                                          if(char_line6c[char_bit])vga_rgb<=30'b0;
  1269.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1270.                                          10'd219:
  1271.                                          if(char_line6d[char_bit])vga_rgb<=30'b0;
  1272.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1273.                                          10'd220:
  1274.                                          if(char_line6e[char_bit])vga_rgb<=30'b0;
  1275.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1276.                                          10'd221:
  1277.                                          if(char_line6f[char_bit])vga_rgb<=30'b0;
  1278.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1279.                                          10'd222:
  1280.                                          if(char_line70[char_bit])vga_rgb<=30'b0;
  1281.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1282.                                          10'd223:
  1283.                                          if(char_line71[char_bit])vga_rgb<=30'b0;
  1284.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1285.                                          10'd224:
  1286.                                          if(char_line72[char_bit])vga_rgb<=30'b0;
  1287.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1288.                                          10'd225:
  1289.                                          if(char_line73[char_bit])vga_rgb<=30'b0;
  1290.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1291.                                          10'd226:
  1292.                                          if(char_line74[char_bit])vga_rgb<=30'b0;
  1293.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1294.                                          10'd227:
  1295.                                          if(char_line75[char_bit])vga_rgb<=30'b0;
  1296.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1297.                                          10'd228:
  1298.                                          if(char_line76[char_bit])vga_rgb<=30'b0;
  1299.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1300.                                          10'd229:
  1301.                                          if(char_line77[char_bit])vga_rgb<=30'b0;
  1302.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1303.                                          10'd230:
  1304.                                          if(char_line78[char_bit])vga_rgb<=30'b0;
  1305.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1306.                                          10'd231:
  1307.                                          if(char_line79[char_bit])vga_rgb<=30'b0;
  1308.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1309.                                          10'd232:
  1310.                                          if(char_line7a[char_bit])vga_rgb<=30'b0;
  1311.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1312.                                          10'd233:
  1313.                                          if(char_line7b[char_bit])vga_rgb<=30'b0;
  1314.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1315.                                          10'd234:
  1316.                                          if(char_line7c[char_bit])vga_rgb<=30'b0;
  1317.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1318.                                          10'd235:
  1319.                                          if(char_line7d[char_bit])vga_rgb<=30'b0;
  1320.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1321.                                          10'd236:
  1322.                                          if(char_line7e[char_bit])vga_rgb<=30'b0;
  1323.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1324.                                          10'd237:
  1325.                                          if(char_line7f[char_bit])vga_rgb<=30'b0;
  1326.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1327.                                          
  1328.                                          10'd291:
  1329.                                          if(char_line30[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1330.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1331.                                          10'd292:
  1332.                                          if(char_line31[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1333.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1334.                                          10'd293:
  1335.                                          if(char_line32[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1336.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1337.                                          10'd294:
  1338.                                          if(char_line33[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1339.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1340.                                          10'd295:
  1341.                                          if(char_line34[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1342.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1343.                                          10'd296:
  1344.                                          if(char_line35[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1345.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1346.                                          10'd297:
  1347.                                          if(char_line36[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1348.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1349.                                          10'd298:
  1350.                                          if(char_line37[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1351.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1352.                                          10'd299:
  1353.                                          if(char_line38[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1354.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1355.                                          10'd300:
  1356.                                          if(char_line39[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1357.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1358.                                          10'd301:
  1359.                                          if(char_line3a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1360.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1361.                                          10'd302:
  1362.                                          if(char_line3b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1363.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1364.                                          10'd303:
  1365.                                          if(char_line3c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1366.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1367.                                          10'd304:
  1368.                                          if(char_line3d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1369.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1370.                                          10'd305:
  1371.                                          if(char_line3e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1372.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1373.                                          10'd306:
  1374.                                          if(char_line3f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;
  1375.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1376.                                          
  1377.                                          10'd326:
  1378.                                          if(char_line80[char_bit])vga_rgb<=30'b0;
  1379.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1380.                                          10'd327:
  1381.                                          if(char_line81[char_bit])vga_rgb<=30'b0;
  1382.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1383.                                          10'd328:
  1384.                                          if(char_line82[char_bit])vga_rgb<=30'b0;
  1385.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1386.                                          10'd329:
  1387.                                          if(char_line83[char_bit])vga_rgb<=30'b0;
  1388.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1389.                                          10'd330:
  1390.                                          if(char_line84[char_bit])vga_rgb<=30'b0;
  1391.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1392.                                          10'd331:
  1393.                                          if(char_line85[char_bit])vga_rgb<=30'b0;
  1394.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1395.                                          10'd332:
  1396.                                          if(char_line86[char_bit])vga_rgb<=30'b0;
  1397.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1398.                                          10'd333:
  1399.                                          if(char_line87[char_bit])vga_rgb<=30'b0;
  1400.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1401.                                          10'd334:
  1402.                                          if(char_line88[char_bit])vga_rgb<=30'b0;
  1403.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1404.                                          10'd335:
  1405.                                          if(char_line89[char_bit])vga_rgb<=30'b0;
  1406.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1407.                                          10'd336:
  1408.                                          if(char_line8a[char_bit])vga_rgb<=30'b0;
  1409.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1410.                                          10'd337:
  1411.                                          if(char_line8b[char_bit])vga_rgb<=30'b0;
  1412.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1413.                                          10'd338:
  1414.                                          if(char_line8c[char_bit])vga_rgb<=30'b0;
  1415.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1416.                                          10'd339:
  1417.                                          if(char_line8d[char_bit])vga_rgb<=30'b0;
  1418.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1419.                                          10'd340:
  1420.                                          if(char_line8e[char_bit])vga_rgb<=30'b0;
  1421.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1422.                                          10'd341:
  1423.                                          if(char_line8f[char_bit])vga_rgb<=30'b0;
  1424.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1425.                                          10'd342:
  1426.                                          if(char_line90[char_bit])vga_rgb<=30'b0;
  1427.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1428.                                          10'd343:
  1429.                                          if(char_line91[char_bit])vga_rgb<=30'b0;
  1430.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1431.                                          10'd344:
  1432.                                          if(char_line92[char_bit])vga_rgb<=30'b0;
  1433.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1434.                                          10'd345:
  1435.                                          if(char_line93[char_bit])vga_rgb<=30'b0;
  1436.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1437.                                          10'd346:
  1438.                                          if(char_line94[char_bit])vga_rgb<=30'b0;
  1439.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1440.                                          10'd347:
  1441.                                          if(char_line95[char_bit])vga_rgb<=30'b0;
  1442.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1443.                                          10'd348:
  1444.                                          if(char_line96[char_bit])vga_rgb<=30'b0;
  1445.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1446.                                          10'd349:
  1447.                                          if(char_line97[char_bit])vga_rgb<=30'b0;
  1448.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1449.                                          10'd350:
  1450.                                          if(char_line98[char_bit])vga_rgb<=30'b0;
  1451.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1452.                                          10'd351:
  1453.                                          if(char_line99[char_bit])vga_rgb<=30'b0;
  1454.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1455.                                          10'd352:
  1456.                                          if(char_line9a[char_bit])vga_rgb<=30'b0;
  1457.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1458.                                          10'd353:
  1459.                                          if(char_line9b[char_bit])vga_rgb<=30'b0;
  1460.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1461.                                          10'd354:
  1462.                                          if(char_line9c[char_bit])vga_rgb<=30'b0;
  1463.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1464.                                          10'd355:
  1465.                                          if(char_line9d[char_bit])vga_rgb<=30'b0;
  1466.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1467.                                          10'd356:
  1468.                                          if(char_line9e[char_bit])vga_rgb<=30'b0;
  1469.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1470.                                          10'd357:
  1471.                                          if(char_line9f[char_bit])vga_rgb<=30'b0;
  1472.                 else vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;
  1473.                                       
  1474.                 default:vga_rgb<=30'hFFFFFFFFFF_FFFFFFFFFF_FFFFFFFFFF;   //默认颜色白色
  1475.             endcase
  1476.         end
  1477.     else vga_rgb<=30'h000000000;             //否则黑色
  1478.     assign VGA_R=vga_rgb[23:16];
  1479.     assign VGA_G=vga_rgb[15:8];
  1480.     assign VGA_B=vga_rgb[7:0];
  1481.          
  1482. endmodule
复制代码


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶1 踩
回复

使用道具 举报

沙发
ID:1097145 发表于 2023-10-24 18:47 | 只看该作者
看看代码如何
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表