找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索

基于fsm 0-9计数器源程序

查看数: 2137 | 评论数: 0 | 收藏 0
关灯 | 提示:支持键盘翻页<-左 右->
    组图打开中,请稍候......
发布时间: 2019-5-28 13:17

正文摘要:

备注:        输出信号使用灯泡来显示“0000”表示1,“1001”表示9。 原理:       该电路的输入为一个时钟脉冲CLK和异步复位信号reset每段clk上升沿到达时计数器 ...

回复

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表