找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 6018|回复: 18
打印 上一主题 下一主题
收起左侧

开源自己做的CPLD+SRAM液晶驱动verilog代码

  [复制链接]
跳转到指定楼层
楼主
断断续续地学了verilog HDL和FPGA一段时间,一直没有完整的做一个东西,看到论坛上有做TFT控制器的,于是就仿制了一个.
verilog程序大部分是自己写的,一些部分如异步写入,HSync,VSync时序产生部分参考了"dzng11"
废话不说了:直接上图上资料


电路原理图如下:




verilog HDL源程序如下:
  1. /**********************************************************************
  2. 通用4.3寸tft驱动
  3. 地址1位
  4. -----------------------------------------------------------------——----
  5. A0=0寄存器,寄存器0-7
  6. 0 SRAM数据
  7. 1 xIdex x轴寄存器
  8. 2 yIdex y轴寄存器
  9. 3 yend  结束寄存器,用于窗口绘图加速

  10. 4 前景颜色
  11. 5 背景色
  12. 6
  13. 7 系统状态0-15位
  14.   bo-b2          b3          b4-b6              b7-b9             b10-b12   
  15.    背光        显示开/关      显示方式           要写入的页          当前显示页
  16.                                                必须位x00                必须位x00
  17.                                                                                                                          x可改变(b7)      x可改变(b10)
  18. -----------------------------------------------------------------------
  19. AO=1数据

  20. 2011.12.5
  21. ***********************************************************************/

  22. /*
  23. 接口说明:
  24. Clk54:系统晶振,选择54M晶振
  25. SysRst:系统复位线.
  26. ExDataBus:外部16位数据总线
  27. ExCs:控制器片选,
  28. ExRs:数据批令选择
  29. ExWr:写信号
  30. ExRd:读信号
  31. RamAddrBus:显存地址线.
  32. RamDataBus:显存数据总线
  33. RamCs:显存片选
  34. RamWe:显存写选通.
  35. LedOn:背光控制PWM
  36. DE:TFT屏
  37. DE:VSyncTFT屏,
  38. HSync:TFT屏
  39. RGB:TFT屏
  40. Pclk:TFT屏);
  41. */

  42. module tft43(Clk54, SysRst, ExDataBus, ExCs, ExRs, ExWr, ExRd, RamAddrBus,
  43.              RamDataBus, RamCs, RamWe,RamOe, LedOn, DE, VSync, HSync, RGB, Pclk);
  44.     input Clk54, SysRst, ExCs, ExRs, ExRd, ExWr;
  45.     inout [15:0] ExDataBus;
  46.     inout [15:0] RamDataBus;
  47.     output [17 : 0] RamAddrBus;
  48.     output [15:0] RGB;
  49.     output RamCs, RamWe,RamOe, LedOn, DE, VSync, HSync, Pclk;

  50.     reg [2:0] ClkCnt_Q3;
  51.     reg DotClkEn_Q;
  52.     reg Pclk_Q;
  53.     reg WrEn_Q;
  54.     reg [15:0]ExBusOut_Q;
  55.     reg [15:0] ExOutM;
  56.     reg [15:0] WriteRgb_Q;
  57.     reg ExWrClk_Q;
  58.     reg ExRsR_Q;
  59.     reg ExCsR_Q;
  60.     reg ExRdClk_Q;
  61.     reg ExRdClk_Q1;
  62.     reg ExRdClk_Q2;
  63.   
  64.     reg ExWrClk_Q1;
  65.     reg ExWrClk_Q2;


  66.     reg [12:0] SramAddr;
  67.     reg [15:0] PrePareData;
  68.     reg [7:0] IndexData;
  69.     reg InRamWe;
  70.     reg IncAddr;
  71.     reg XRegOver;
  72.     reg YRegOver;
  73.     reg AskWr;

  74.     reg [15:0] RGB_Q;
  75.     reg [15:0] InBusOut_Q;
  76.     reg [8:0] XRegValue_Q;
  77.     reg [8:0] YRegValue_Q;
  78.     reg [15:0] SysCmdValue_Q;
  79.     reg [2:0] RegAddr_Q;
  80.     reg RamWeReg_Q;
  81.     reg RamTriState_Q;
  82.     reg [2:0] FillCount_Q;
  83.     reg [15:0] BRGBValue_Q;
  84.     reg [15:0] FRGBValue_Q;
  85.     reg [17:0] RamAddrBus_Q;
  86.     reg FillHead_Q;
  87.     reg [8:0] HsCount_Q;
  88.     reg [8:0] DotCount_Q;
  89.     reg SelDispRam_Q;
  90.     reg RamCsReg_Q;
  91.     reg DsMark;
  92.     reg HsMark;
  93.     reg [1:0]DsState_Q;
  94.     reg [1:0]HsState_Q;
  95.     reg DE_Q;
  96.     reg [4:0]LedCount_Q;
  97.     reg LedOn_Q,LedOn_W;

  98.     assign Pclk = Pclk_Q;
  99.     assign RGB = RGB_Q;
  100.     assign RamAddrBus = {RamAddrBus_Q};
  101.     assign RamWe = RamWeReg_Q;
  102.     assign RamCs = RamCsReg_Q;
  103.          assign RamOe = RamCsReg_Q;/////
  104.     assign RamDataBus = (RamTriState_Q | Clk54)?16'bzzzzzzzzzzzzzzzz:InBusOut_Q;
  105.     assign VSync = DE_Q;
  106.     assign HSync = DE_Q;//1'b0;
  107.     assign DE = DE_Q;
  108.     assign LedOn = LedOn_Q;
  109.     assign ExDataBus = ExOutM;   
  110. /*
  111. --1.将54M主时钟6分频,产生9M时钟并输出至引脚Pclk
  112. --2.输出DotClkEn为9M信号同步其他进程.高电平为1个主时钟周期,低电平5个主时钟周期
  113. --3.技巧: 001,010,011,100,101,110.共6个状态,高位刚好是6分频等宽.
  114. */
  115.     always @(posedge Clk54 or negedge SysRst)
  116.     begin
  117.         if (!SysRst) begin
  118.             ClkCnt_Q3   = 3'd1;
  119.             Pclk_Q      = 1'b0;
  120.             DotClkEn_Q  = 1'b0;
  121.         end else begin
  122.             if (ClkCnt_Q3[2] & ClkCnt_Q3[1]) begin
  123.                 ClkCnt_Q3 <= 3'd1;
  124.             end else begin
  125.                 ClkCnt_Q3 <= ClkCnt_Q3 + 3'd1;
  126.             end
  127.             Pclk_Q <= (SysCmdValue_Q[3])?1'b0:ClkCnt_Q3[2];
  128.             DotClkEn_Q <= ((~ClkCnt_Q3[2]) & ClkCnt_Q3[1] & ClkCnt_Q3[0]);
  129.         end
  130.     end
  131. /*
  132. --外部接口在RD及CS低电平期间输出内部数据
  133. --RS为0时输出忙信号.RS为1时输出当前地址的内部数据
  134. */
  135.     always @(SysRst or ExBusOut_Q or WrEn_Q or ExCs or ExRs or ExWr or ExRd)
  136.      begin
  137.         if (!SysRst) begin
  138.             ExOutM = 16'bzzzzzzzzzzzzzzzz;
  139.         end else begin
  140.             if ((~ExCs) & ExWr & (~ExRd)) begin
  141.                 ExOutM = (ExRs)?ExBusOut_Q:({15'd0,WrEn_Q});
  142.             end else begin
  143.                 ExOutM = 16'bzzzzzzzzzzzzzzzz;
  144.             end
  145.         end
  146.     end
  147. /*
  148. --外部异步WR写入数据.
  149. */
  150.     always @(posedge ExWr or negedge SysRst)
  151.     begin
  152.         if (!SysRst) begin
  153.             WriteRgb_Q = 16'd0;
  154.             ExWrClk_Q  = 1'b0;
  155.             RegAddr_Q  = 3'd0;
  156.          end else begin
  157.             if (!ExCs) begin
  158.                 if (!ExRs) begin
  159.                     RegAddr_Q <= ExDataBus[2:0];
  160.                 end else begin
  161.                     WriteRgb_Q <= ExDataBus;
  162.                     ExWrClk_Q <= ~ExWrClk_Q;
  163.                 end
  164.             end
  165.         end
  166.     end
  167. /*
  168. --外部异步RD读出数据.
  169. */
  170. always @(negedge ExRd or negedge SysRst)
  171. begin
  172.         if (!SysRst) begin
  173.             ExRsR_Q = 1'b0;
  174.             ExCsR_Q = 1'b1;
  175.          end else begin
  176.             ExCsR_Q <= ExCs;
  177.             ExRsR_Q <= ExRs;
  178.         end
  179. end
  180. always @(posedge ExRd or negedge SysRst)
  181. begin
  182.         if (!SysRst) begin
  183.             ExRdClk_Q = 1'b0;
  184.          end else begin
  185.             if  ((~ExCsR_Q) & ExRsR_Q) begin
  186.                 ExRdClk_Q <= ~ExRdClk_Q;
  187.             end
  188.         end
  189. end
  190. /******************************************
  191. --主时钟打两拍同步采用外部读写信号
  192. ******************************************/
  193.     always @(posedge Clk54 or negedge SysRst)
  194.     begin
  195.         if (!SysRst) begin
  196.             ExRdClk_Q1 = 1'b0;
  197.             ExRdClk_Q2 = 1'b0;
  198.             ExWrClk_Q1 = 1'b0;
  199.             ExWrClk_Q2 = 1'b0;
  200.            
  201.         end else begin
  202.             ExRdClk_Q1 <= ExRdClk_Q;
  203.             ExRdClk_Q2 <= ExRdClk_Q1;
  204.             ExWrClk_Q1 <= ExWrClk_Q;
  205.             ExWrClk_Q2 <= ExWrClk_Q1;
  206.         end
  207.     end

  208.     always @(posedge Clk54 or negedge SysRst)
  209.     begin
  210.         if (!SysRst) begin
  211.             InBusOut_Q  = 16'd0;
  212.             ExBusOut_Q  = 16'd0;
  213.             XRegValue_Q = 9'd0;
  214.             YRegValue_Q = 9'd0;
  215.             SysCmdValue_Q = 16'b0000000000110000;
  216.             WrEn_Q = 1'b0;
  217.             RamWeReg_Q = 1'b1;
  218.             RamTriState_Q = 1'b1;
  219.             RGB_Q = 16'd0;
  220.             FillCount_Q = 3'd7;
  221.             BRGBValue_Q  = 16'd0;
  222.             FRGBValue_Q  = 16'd0;
  223.             RamAddrBus_Q = 18'd0;
  224.             RamCsReg_Q = 1'b1;
  225.             PrePareData = 16'd0;
  226.         end else begin
  227.             if (ExRdClk_Q2 ^ ExRdClk_Q1) begin
  228.                 IncAddr = 1'b1;
  229.             end else begin
  230.                 IncAddr = 1'b0;
  231.             end
  232.             if (ExWrClk_Q2 ^ ExWrClk_Q1) begin
  233.                 AskWr = 1'b1;
  234.             end else begin
  235.                 AskWr = WrEn_Q;
  236.             end
  237.             XRegOver = (XRegValue_Q == 9'b111011111)?1'b1:1'b0;
  238.             YRegOver = (YRegValue_Q == 9'b100001111)?1'b1:1'b0;
  239.             PrePareData = WriteRgb_Q;
  240.             IndexData[7:0] = WriteRgb_Q[7:0];
  241.             InRamWe = 1'b1;
  242.             if (!ClkCnt_Q3[0]) begin
  243.                 if (AskWr) begin
  244.                     case (RegAddr_Q)
  245.                     3'b000:begin
  246.                             XRegValue_Q <= PrePareData[8:0];
  247.                             WrEn_Q <= 1'b0;
  248.                         end
  249.                     3'b001:begin
  250.                             YRegValue_Q <= PrePareData[8:0];
  251.                             WrEn_Q <= 1'b0;
  252.                         end
  253.                                                   3'b010:begin
  254.                             InRamWe = 1'b0;
  255.                             IncAddr = 1'b1;
  256.                             WrEn_Q <= 1'b0;
  257.                         end
  258.                     3'b100:begin
  259.                             FRGBValue_Q <= PrePareData;
  260.                             WrEn_Q <= 1'b0;
  261.                         end
  262.                     3'b101:begin
  263.                             BRGBValue_Q <= PrePareData;
  264.                             WrEn_Q <= 1'b0;
  265.                         end
  266.                     3'b110:begin
  267.                             SysCmdValue_Q <= PrePareData;
  268.                             WrEn_Q <= 1'b0;
  269.                         end
  270.                     
  271.                     3'b011:begin
  272.                             if (!IndexData[FillCount_Q]) begin
  273.                                 PrePareData = BRGBValue_Q;
  274.                                 InRamWe = SysCmdValue_Q[12];
  275.                             end else begin
  276.                                 PrePareData = FRGBValue_Q;
  277.                                 InRamWe = 1'b0;
  278.                             end
  279.                             IncAddr = 1'b1;
  280.                             WrEn_Q <= (FillCount_Q[0] | FillCount_Q[1] | FillCount_Q[2]);
  281.                             FillCount_Q <= FillCount_Q + 3'd7;
  282.                         end
  283.                     3'b111:begin
  284.                             if (FillHead_Q) begin
  285.                                 IncAddr = 1'b1;
  286.                                 InRamWe = 1'b0;
  287.                                 if (YRegOver & XRegOver) begin
  288.                                     WrEn_Q <= 1'b0;
  289.                                 end
  290.                             end else begin
  291.                                 WrEn_Q <= 1'b1;
  292.                             end
  293.                         end
  294.                     endcase
  295.                 end
  296.                 RGB_Q <= (SysCmdValue_Q[3])?16'd0:RamDataBus;
  297.                 SramAddr[12:4] = YRegValue_Q[8:0];
  298.                 SramAddr[3:0] = XRegValue_Q[8:5];
  299.                 RamAddrBus_Q[4:0] <= XRegValue_Q[4:0];
  300.                 RamAddrBus_Q[17] <= SysCmdValue_Q[7];
  301.             end else begin
  302.                 if (AskWr) begin
  303.                     WrEn_Q <= 1'b1;
  304.                 end
  305.                 ExBusOut_Q <= RamDataBus;
  306.                 SramAddr[12:4] = HsCount_Q[8:0];
  307.                 SramAddr[3:0] = DotCount_Q[8:5];
  308.                 RamAddrBus_Q[4:0] <= DotCount_Q[4:0];
  309.                 RamAddrBus_Q[17] <= SelDispRam_Q;
  310.             end
  311.             InBusOut_Q <= PrePareData;
  312.             RamTriState_Q <= InRamWe;
  313.             RamWeReg_Q <= InRamWe;
  314.             SramAddr[12:0] = SramAddr[12:0] - {4'd0,SramAddr[12:4]};
  315.             RamAddrBus_Q[16:5] <= SramAddr[11:0];
  316.      /*根据配置字调整XY*/
  317.             if (IncAddr) begin
  318.                 case (SysCmdValue_Q[6:4])
  319.                 3'b001:begin
  320.                         if (XRegOver) begin
  321.                             XRegValue_Q <= 9'd0;
  322.                         end else begin
  323.                             XRegValue_Q <= XRegValue_Q + 9'd1;
  324.                         end
  325.                     end
  326.                 3'b011:begin
  327.                         if (XRegOver) begin
  328.                             XRegValue_Q <= 9'd0;
  329.                             if (YRegOver) begin
  330.                                 YRegValue_Q <= 9'd0;
  331.                             end else begin
  332.                                 YRegValue_Q <= YRegValue_Q + 9'd1;
  333.                             end
  334.                         end else begin
  335.                             XRegValue_Q <= XRegValue_Q + 9'd1;
  336.                         end
  337.                     end
  338.                 3'b110:begin
  339.                         if (YRegOver) begin
  340.                             YRegValue_Q <= 9'd0;
  341.                         end else begin
  342.                             YRegValue_Q <= YRegValue_Q + 9'd1;
  343.                         end
  344.                      end
  345.                 3'b111:begin
  346.                         if (YRegOver) begin
  347.                             YRegValue_Q <= 9'd0;
  348.                             if (XRegOver) begin
  349.                                 XRegValue_Q <= 9'd0;
  350.                             end else begin
  351.                                 XRegValue_Q <= XRegValue_Q + 9'd1;
  352.                             end
  353.                         end else begin
  354.                             YRegValue_Q <= YRegValue_Q + 9'd1;
  355.                         end
  356.                     end
  357.                 default:begin
  358.                     end
  359.                 endcase
  360.             end
  361.             RamCsReg_Q <= SysCmdValue_Q[3];
  362.         end
  363.     end
  364.     /*--产生TFT行同步*/
  365.     always @(posedge Clk54 or negedge SysRst)
  366.     begin
  367.         if (!SysRst) begin
  368.             DotCount_Q = 9'd0;
  369.             DsState_Q = 2'd0;
  370.             DsMark = 1'b0;
  371.         end else begin
  372.             if (DotClkEn_Q) begin
  373.                 case (DsState_Q)
  374.                 2'd0:/*=>--41 相当于<= 40*/
  375.                     DsMark = (DotCount_Q[5] & DotCount_Q[3]);
  376.                 2'd1:/*when "01" =>--2 相当于<= 1*/
  377.                     DsMark = DotCount_Q[0];
  378.                 2'd2:/*=>--480 相当于<= 479*/
  379.                     DsMark = (DotCount_Q[0] & DotCount_Q[1] & DotCount_Q[2] & DotCount_Q[3] &
  380.                               DotCount_Q[4] & DotCount_Q[6] & DotCount_Q[7] & DotCount_Q[8]);
  381.                 2'd3:/*--2 相当于<= 1*/
  382.                     DsMark = DotCount_Q[0];
  383.                 endcase
  384.                 DsState_Q <= DsState_Q + {1'b0,DsMark};
  385.                 DotCount_Q <= (DsMark)?9'd0:(DotCount_Q + 9'd1);
  386.             end
  387.         end
  388.     end
  389. /*--产生TFT帧同步信号*/
  390.     always @(posedge Clk54 or negedge SysRst)
  391.     begin
  392.         if (!SysRst) begin
  393.             DE_Q  = 1'b0;
  394.         end else begin
  395.             DE_Q <= (SysCmdValue_Q[3])?1'b0:(HsState_Q[1] & (~HsState_Q[0]) & DsState_Q[1] & (~DsState_Q[0]));
  396.         end
  397.     end
  398. /*--产生帧同步时钟*/
  399.     always @(posedge Clk54 or negedge SysRst)
  400.     begin
  401.         if (!SysRst) begin
  402.             HsCount_Q = 9'd0;
  403.             HsState_Q = 2'd0;
  404.             FillHead_Q = 1'b0;
  405.             SelDispRam_Q = 1'b0;
  406.             LedCount_Q = 5'd0;
  407.         end else begin
  408. ……………………

  409. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
tft43.rar (9.52 MB, 下载次数: 109)
stm32程序.7z (559.44 KB, 下载次数: 84)



评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏10 分享淘帖 顶1 踩
回复

使用道具 举报

沙发
ID:199411 发表于 2019-9-6 11:47 | 只看该作者
谢谢分享
回复

使用道具 举报

板凳
ID:199411 发表于 2019-9-6 13:51 | 只看该作者
正好需要,放谢分享
回复

使用道具 举报

地板
ID:265614 发表于 2019-10-10 15:05 | 只看该作者
SramAddr[12:4] = YRegValue_Q[8:0];
                SramAddr[3:0] = XRegValue_Q[8:5];
                RamAddrBus_Q[4:0] <= XRegValue_Q[4:0];
                RamAddrBus_Q[17] <= SysCmdValue_Q[7];
版主能解释一下这段代码吗
回复

使用道具 举报

5#
ID:265614 发表于 2019-10-23 14:42 | 只看该作者
这个板子哪里有卖
回复

使用道具 举报

6#
ID:97678 发表于 2019-12-8 14:08 | 只看该作者
这个板子有的卖吗? 想买一个看看,找了很久才发现
回复

使用道具 举报

7#
ID:483587 发表于 2020-1-2 17:01 | 只看该作者
这个板子有的卖吗? 想买一个看看,找了很久才发现
回复

使用道具 举报

8#
ID:726712 发表于 2020-4-11 08:24 来自手机 | 只看该作者
写的不错,谢谢楼主开源
回复

使用道具 举报

9#
ID:242064 发表于 2020-5-28 11:50 来自手机 | 只看该作者
我也想买一个?板子有买的吗?
回复

使用道具 举报

10#
ID:242064 发表于 2020-5-29 23:31 来自手机 | 只看该作者
ExOutM = (ExRs)?ExBusOut_Q:({15'd0,WrEn_Q}
回复

使用道具 举报

11#
ID:242064 发表于 2020-5-29 23:33 来自手机 | 只看该作者
是什么意思?
回复

使用道具 举报

12#
ID:242064 发表于 2020-5-29 23:43 来自手机 | 只看该作者
ExOutM = (ExRs)?ExBusOut_Q:({15'd0,WrEn_Q});
回复

使用道具 举报

13#
ID:242064 发表于 2020-6-11 23:42 来自手机 | 只看该作者
是拼接
回复

使用道具 举报

14#
ID:77220 发表于 2020-8-17 05:10 | 只看该作者
thanks very good
回复

使用道具 举报

15#
ID:83553 发表于 2020-9-4 08:27 | 只看该作者
板子有买的吗?
回复

使用道具 举报

16#
ID:575109 发表于 2020-10-5 21:58 来自手机 | 只看该作者
刚学一个月,感觉这代码问题挺多, 时序逻辑语句块中间使用了阻塞赋值,这样不对吧?!  另外这些块内部描述的语句过多,估计很难综合出正确的结果
回复

使用道具 举报

17#
ID:434018 发表于 2022-7-17 10:19 | 只看该作者
看看!
写的不错!
回复

使用道具 举报

18#
ID:97678 发表于 2022-9-14 12:13 | 只看该作者
好东西!值得收藏
回复

使用道具 举报

19#
ID:97678 发表于 2022-9-14 12:16 | 只看该作者
楼主,能够分享一下原理图和PCB吗?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表