找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 33163|回复: 2
收起左侧

QUARTUS 编译中 instantiates undefined entity 问题

[复制链接]
ID:51088 发表于 2014-8-20 02:52 | 显示全部楼层 |阅读模式
FPGA编译过程中出现错误,NIOSII核中一个自建实例出现异常,报错无实体定义。
    Error: Node instance "     " instantiates undefined entity "     ".(未定义实体。)
    前两天才出现这个问题,当时解决了,昨天又出这个问题,一时想不起怎么解决的了。今早到网上查了一下找到了原因,因为是从原先的调试用工程下边拷过来的顶层文件,而没有加入底层文件。于是将实例的.vhd文件拷到现在的工程下边,在quantus的工具栏的file->open,打开该.vhd文件,然后在project->add current file to project,重新编译即通过。
    呵呵,很弱的问题,估计像C编程找不到头文件一个级别的错误(-_-(!)
    最近记性不好,把问题和解决办法贴在空间里,以后再犯错的时候方便查找。

回复

使用道具 举报

ID:165884 发表于 2017-2-22 09:45 | 显示全部楼层
你好,我也遇到这种情况了,可是我使用是别人的文件提示
Error (12006): Node instance "cpu" instantiates undefined entity "system"
在工程文件中没找到啊system这个vhdl文件怎么办?
回复

使用道具 举报

ID:1088276 发表于 2023-7-12 20:31 | 显示全部楼层
非常感谢,成功解决问题。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表