找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 7677|回复: 10
打印 上一主题 下一主题
收起左侧

GPS定位LCD1602显示的单片机源码与实物制作

  [复制链接]
跳转到指定楼层
楼主
以51单片机为主控制器,LCD1602显示,通过GPS定位模块收发信息,在LCD上显示经纬度。
还加了温湿度DHT11模块,可以在经纬度旁边显示温湿度。

实物图:


单片机源程序如下:
  1. #include <reg52.h>
  2. #include <intrins.h>
  3. #include <stdio.h>
  4. #include "delay.h"
  5. #include "1602.h"

  6. /**The UART1 stage1**/
  7. #define STAGE_SOHE  0x01
  8. #define STAGE_TYPE  0x02
  9. #define STAGE_NONE  0x03
  10. #define STAGE_DATA  0x04

  11. unsigned char Lin0_No[16]="N:000.000000 ";//显示北纬
  12. unsigned char Lin1_Ea[16]="E:000.000000 ";//显示东经
  13. unsigned long xdata time_20ms=0;

  14. unsigned char xdata        devide_flag;                        //GPS数据逗号分隔符
  15. unsigned char xdata        speed_end;                        //收速度数据结束标志
  16. unsigned char xdata        dir_end;                        //收方向角数据结束标志
  17. unsigned char xdata  sysmode_GPS=0;                    //gps有效无效标志
  18. unsigned char  xdata ew_flag;                        //东西标志
  19. unsigned char  xdata ns_flag;                        //南北标志

  20. unsigned char xdata        gps_infor_weijing[17];    //暂存经纬度 格式是以度分秒的是形式
  21. unsigned char xdata        gps_infor_speed[4];       //暂存速率
  22. unsigned char xdata        gps_infor_time[6];        //时间暂存
  23. unsigned char xdata        gps_infor_date[6];        //日期暂存
  24. unsigned char xdata        gps_infor_dir[3];         //方向暂存

  25. unsigned char xdata recv1_step=STAGE_SOHE;                       //串口1接收指令步骤
  26. unsigned char xdata uart1_r_buf;                       //串口的缓存
  27. unsigned char xdata rev1_buf_busy;                    //串口接收忙碌标志
  28. unsigned char xdata temp1_buf[85];                   //串口接收数组
  29. unsigned int xdata record1=0;   
  30. unsigned  char times = 0;                //延时计数
  31. void Init_Timer0(void);//定时器初始化
  32. void UART_Init(void);

  33. void main (void)
  34. {
  35.         unsigned char num=0;
  36.         unsigned long Mid_Du;       //中间变量 暂存经纬度的整数部分 即度
  37.         unsigned long Mid_Fen;      //中间变量 暂存经纬度的小数部分 即分  gps原始数据是度分秒格式
  38.         unsigned long Mid_Vale;     ////中间变量 暂存经纬度 并将其扩大了10000000倍
  39.         unsigned char i ;

  40.         Init_Timer0();        //定时器0初始化
  41.         
  42.         UART_Init();
  43.                
  44.         LCD_Init();           //初始化液晶
  45.         DelayMs(20);          //延时有助于稳定
  46.         LCD_Clear();          //清屏

  47.         DelayMs(120);

  48.         while(1)         //主循环
  49.         {
  50.                 if(sysmode_GPS==1)                //检测gps是否有效数据
  51.                         {
  52.                                 sysmode_GPS=0;                        //清除有效位
  53.                                 times = 0;        //防止gps数据未更新就误判断数据无效
  54.                         Mid_Du=(gps_infor_weijing[0]-0x30)*10000000+(gps_infor_weijing[1]-0x30)*1000000;    //处理纬度 暂存整数部分扩大10000000
  55.                         
  56.                         Mid_Fen=(gps_infor_weijing[2]-0x30)*10000000+(gps_infor_weijing[3]-0x30)*1000000+
  57.                           (gps_infor_weijing[4]-0x30)*100000+(gps_infor_weijing[5]-0x30)*10000+
  58.                             (gps_infor_weijing[6]-0x30)*1000+(gps_infor_weijing[7]-0x30)*100;        //处理纬度 暂存小数部分扩大10000000      
  59.                         Mid_Fen=Mid_Fen/60;                                                     //分秒换算为小数位
  60.                         Mid_Vale=Mid_Du+Mid_Fen;        //最终纬度 格式为000.00000000 非度分秒格式
  61.                         Lin0_No[0]='N';                  
  62.                                 Lin0_No[1]=':';                  
  63.                                 Lin0_No[2]='0';                  
  64.                         Lin0_No[3]=Mid_Vale/10000000+0x30;                  //将处理后的纬度填入字符串 并打印显示
  65.                         Lin0_No[4]=(Mid_Vale/1000000)%10+0x30;
  66.                         Lin0_No[5]='.';
  67.                         Lin0_No[6]=(Mid_Vale/100000)%10+0x30;
  68.                         Lin0_No[7]=(Mid_Vale/10000)%10+0x30;
  69.                         Lin0_No[8]=(Mid_Vale/1000)%10+0x30;
  70.                         Lin0_No[9]=(Mid_Vale/100)%10+0x30;
  71.                         Lin0_No[10]=(Mid_Vale/10)%10+0x30;
  72.                         Lin0_No[11]=Mid_Vale%10+0x30;
  73.                         
  74.                         Mid_Du=(gps_infor_weijing[8]-0x30)*100000000+(gps_infor_weijing[9]-0x30)*10000000+(gps_infor_weijing[10]-0x30)*1000000; //处理经度 暂存整数部分扩大10000000
  75.                         
  76.                         Mid_Fen=(gps_infor_weijing[11]-0x30)*10000000+(gps_infor_weijing[12]-0x30)*1000000+
  77.                           (gps_infor_weijing[13]-0x30)*100000+(gps_infor_weijing[14]-0x30)*10000+
  78.                             (gps_infor_weijing[15]-0x30)*1000+(gps_infor_weijing[16]-0x30)*100; //处理经度 暂存小数部分扩大10000000      
  79.                         Mid_Fen=Mid_Fen/60;                                                //分秒换算为小数位
  80.                         Mid_Vale=Mid_Du+Mid_Fen;                                          //最终经度 格式为000.00000000 非度分秒格式
  81.                         Lin1_Ea[0]='E';                  
  82.                                 Lin1_Ea[1]=':';     
  83.                         Lin1_Ea[2]=Mid_Vale/100000000+0x30;                            //将处理后的经度填入字符串 并打印显示
  84.                         Lin1_Ea[3]=(Mid_Vale/10000000)%10+0x30;
  85.                         Lin1_Ea[4]=(Mid_Vale/1000000)%10+0x30;
  86.                         Lin1_Ea[5]='.';
  87.                         Lin1_Ea[6]=(Mid_Vale/100000)%10+0x30;
  88.                         Lin1_Ea[7]=(Mid_Vale/10000)%10+0x30;
  89.                         Lin1_Ea[8]=(Mid_Vale/1000)%10+0x30;
  90.                         Lin1_Ea[9]=(Mid_Vale/100)%10+0x30;
  91.                         Lin1_Ea[10]=(Mid_Vale/10)%10+0x30;
  92.                         Lin1_Ea[11]=Mid_Vale%10+0x30;
  93.                        
  94.                         }
  95.                         else
  96.                         {         
  97.                                 times++;
  98.                                 if(times>4)                   //防止gps数据未更新就误判断数据无效
  99.                                 {
  100.                                         times = 0;                 //清零计数
  101.                                 Lin1_Ea[0]='G';               //无gps信号情况下 打印正在连接
  102.                                         Lin1_Ea[1]='P';                  
  103.                                         Lin1_Ea[2]='S';                  
  104.                                 Lin1_Ea[3]=' ';                  //将处理后的纬度填入字符串 并打印显示
  105.                                 Lin1_Ea[4]='L';
  106.                                 Lin1_Ea[5]='I';
  107.                                 Lin1_Ea[6]='N';
  108.                                 Lin1_Ea[7]='K';
  109.                                 Lin1_Ea[8]='I';
  110.                                 Lin1_Ea[9]='N';
  111.                                 Lin1_Ea[10]='G';
  112.                                 Lin1_Ea[11]='.';
  113.                                         for(i=0;i<12;i++)         //数组重新填充
  114.                                         {
  115.                                                 Lin0_No[i]=Lin1_Ea[i];
  116.                                         }
  117.                                 }

  118.                         }
  119.                         LCD_Write_String(0,0,Lin0_No);//显示第一行
  120.                         LCD_Write_String(0,1,Lin1_Ea);//显示第二行
  121.                 }

  122.         }


  123. void Init_Timer0(void)
  124. {
  125. TMOD |= 0x01;          //使用模式1,16位定时器,使用"|"符号可以在使用多个定时器时不受影响                     
  126. TH0=(65536-20000)/256;                  //重新赋值 20ms
  127. TL0=(65536-20000)%256;
  128. EA=1;            //总中断打开
  129. ET0=1;           //定时器中断打开
  130. TR0=1;           //定时器开关打开
  131. }

  132. void Timer0_isr(void) interrupt 1
  133. {
  134. TH0=(65536-20000)/256;                  //重新赋值 20ms
  135. TL0=(65536-20000)%256;

  136. time_20ms++;
  137. }

  138. void UART_Init(void)
  139. {
  140.     SCON  = 0x50;                        // SCON: 模式 1, 8-bit UART, 使能接收  
  141.     TMOD |= 0x20;               // TMOD: timer 1, mode 2, 8-bit 重装
  142.     TH1   = 0xFD;               // TH1:  重装值 9600 波特率 晶振 11.0592MHz  
  143.     TR1   = 1;                  // TR1:  timer 1 打开                        
  144.     EA    = 1;                  //打开总中断
  145.     ES    = 1;                  //打开串口中断
  146. }

  147. void UART_SER (void) interrupt 4 //串行中断服务程序
  148. {
  149.     if(RI)                        //判断是接收中断产生
  150.     {
  151.           RI=0;                      //标志位清零
  152.           uart1_r_buf=SBUF;                      //提取buf中的值
  153.           rev1_buf_busy=0x00;                         //判别 放置break问题
  154.           switch(recv1_step)
  155.           {
  156.           case STAGE_SOHE: if(uart1_r_buf == '')     //判断接收到了  具体原因参考GPS标准协议NMEA0183
  157.           {
  158.             rev1_buf_busy=0x01;
  159.             if(uart1_r_buf == '')              //再次查看接收的是否是
  160.             {
  161.               recv1_step=STAGE_TYPE;            //跳转到下一步
  162.               record1=0;                        //计数清零
  163.             }
  164.             else
  165.             {
  166.               recv1_step=STAGE_SOHE;        //恢复初始化
  167.               record1=0;
  168.             }
  169.           }
  170.           break;
  171.           case STAGE_TYPE: if(rev1_buf_busy == 0x00)
  172.           {

  173.             rev1_buf_busy=0x01;
  174.             temp1_buf[record1]=uart1_r_buf;
  175.             record1++;
  176.             if(record1 == 0x05)
  177.             {                                                 //查看$GPRMC开头的命令行
  178.               if((temp1_buf[0] == 'G') && (temp1_buf[1] == 'P') && (temp1_buf[2] == 'R') && (temp1_buf[3] == 'M') && (temp1_buf[4] == 'C'))
  179.               {
  180.                 recv1_step=STAGE_NONE;    //跳转到下一步
  181.                 record1=0;
  182.               }
  183.               else
  184.               {
  185.                 recv1_step=STAGE_SOHE;//恢复初始化
  186.                 record1=0;
  187.               }
  188.             }
  189.           }
  190.           break;
  191.           case STAGE_NONE: if(rev1_buf_busy == 0x00)//接收命令格式:$GPRMC,054347.00,A,3202.04770,N,11846.23632,E,0.000,0.00,221013,,,A*67
  192.           {
  193.             rev1_buf_busy=0x01;
  194.             record1++;
  195.             if((record1 > 0x01) && (record1 < 0x08))                                                                                    
  196.             {
  197.               gps_infor_time[record1-2]=uart1_r_buf;                        //时间存储                                                
  198.             }
  199.             if((uart1_r_buf == ',') && (record1 > 0x07) && (record1 < 0x010))   //||((uart1_r_buf == ',') && (record1==0x02))
  200.             {
  201.               record1=0xcc;
  202.             }
  203.             if(record1 ==  0xcd)
  204.             {
  205.               record1=0;
  206.               devide_flag=2;
  207.               speed_end=0x00;
  208.               dir_end=0x00;
  209.               if(uart1_r_buf == 'A')  //gps收到数据 且有效
  210.               {
  211.                 recv1_step=STAGE_DATA;    //跳转到下一步
  212.               }
  213.               else
  214.               {
  215.                 sysmode_GPS=0;
  216.                 recv1_step=STAGE_SOHE;    //无效恢复初始化
  217.                 record1=0;
  218.               }
  219.             }
  220.           }
  221.           break;
  222.           case STAGE_DATA:  if(rev1_buf_busy == 0x00)
  223.           {
  224.             rev1_buf_busy=0x01;
  225.             record1++;
  226.             if(uart1_r_buf == ',')    //判断逗号
  227.             {
  228.               devide_flag++;      //逗号次数记录
  229.               record1=0;
  230.             }
  231.             if(devide_flag == 3)
  232.             {
  233.               if((record1 > 0) && (record1 < 5))
  234.               {
  235.                 gps_infor_weijing[record1-1]=uart1_r_buf;            //存储经纬度 此处为纬度                                                        
  236.               }
  237.               if((record1 > 5) && (record1 < 10))             //跳过小数点的存储
  238.               {
  239.                 gps_infor_weijing[record1-2]=uart1_r_buf;           //存储经纬度 此处为纬度                                                                                                                        
  240.               }
  241.             }
  242.             if(devide_flag == 4)
  243.             {
  244.               if(record1 > 0)
  245.               {
  246.                 ns_flag=uart1_r_buf;            //接收纬度NS标志
  247.               }
  248.             }
  249.             if(devide_flag == 5)
  250.             {
  251.               if((record1 > 0) && (record1 < 6))
  252.               {
  253.                 gps_infor_weijing[record1+7]=uart1_r_buf;          //存储经纬度 此处为纬度                                                                                
  254.               }
  255.               if((record1 > 6) && (record1 < 11))                //跳过小数点的存储
  256.               {
  257.                 gps_infor_weijing[record1+6]=uart1_r_buf;       //存储经纬度 此处为经度                                                                                                                                                        
  258.               }
  259.             }
  260.             if(devide_flag == 6)
  261.             {
  262.               if(record1 > 0)
  263.               {
  264.                 ew_flag=uart1_r_buf;            //经度度 EW标志
  265.               }
  266.             }
  267.             if(devide_flag == 7)
  268.             {
  269.               if(speed_end == 0x00)
  270.               {
  271.                 if((record1 > 0) && (uart1_r_buf != '.'))
  272.                 {
  273.                   gps_infor_speed[record1-1]=uart1_r_buf;   //接收速率
  274.                 }
  275.                 else if(uart1_r_buf == '.')
  276.                 {
  277.                   record1--;
  278.                   speed_end=0xff;
  279.                 }
  280.               }
  281.               else if(speed_end == 0xff)
  282.               {
  283.                 speed_end=0xfe;
  284.                 gps_infor_speed[record1-1]=uart1_r_buf;
  285.                 gps_infor_speed[3]=gps_infor_speed[record1-1];
  286.                 gps_infor_speed[2]=gps_infor_speed[record1-2];
  287.                 if(record1 > 2)
  288.                 {
  289.                   gps_infor_speed[1]=gps_infor_speed[record1-3];
  290.                 }
  291.                 else
  292.                 {
  293.                   gps_infor_speed[1]=0x30;
  294.                 }
  295.                 if(record1 > 3)
  296.                 {
  297.                   gps_infor_speed[0]=gps_infor_speed[record1-4];
  298.                 }
  299.                 else
  300.                 {
  301.                   gps_infor_speed[0]=0x30;
  302.                 }
  303.               }
  304.             }
  305.             if(devide_flag == 8)
  306.             {
  307.               if(dir_end == 0x00)
  308.               {
  309.                 if((record1 > 0) && (uart1_r_buf != '.'))
  310.                 {
  311.                   gps_infor_dir[record1-1]=uart1_r_buf;   //存储方向
  312.                 }
  313.                 else if(uart1_r_buf == '.')
  314.                 {
  315.                   record1--;
  316.                   dir_end=0xff;
  317.                 }
  318.               }
  319. ……………………

  320. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
程序.rar (71.15 KB, 下载次数: 119)







分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏5 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:243700 发表于 2017-12-24 10:31 | 只看该作者
楼楼有没有proteus仿真图或者原理图啊
回复

使用道具 举报

板凳
ID:102963 发表于 2017-12-29 22:09 | 只看该作者
缺少电路图!
回复

使用道具 举报

地板
ID:193148 发表于 2018-1-1 23:07 | 只看该作者
HTGNSS不仅销售GPS模块,最重要是强大的技术支持。HTGNSS承诺GPS模块终身保修
回复

使用道具 举报

5#
ID:260806 发表于 2019-3-27 11:38 | 只看该作者
虓尨 发表于 2017-12-24 10:31
楼楼有没有proteus仿真图或者原理图啊

没有仿真图
原理图有
回复

使用道具 举报

6#
ID:260806 发表于 2019-3-27 11:39 | 只看该作者

下次发帖定会注意
回复

使用道具 举报

7#
ID:260806 发表于 2019-3-28 10:35 | 只看该作者
#在这里快速回复#GPS定位LCD1602液晶显示经纬度海拔及时间日期的实物制作 http://www.51hei.com/bbs/dpj-154514-1.html (出处: 单片机论坛)
回复

使用道具 举报

8#
ID:260806 发表于 2019-3-28 10:36 | 只看该作者

RE: GPS定位LCD1602显示的单片机源码与实物制作

GPS定位LCD1602液晶显示经纬度海拔及时间日期的实物制作
http://www.51hei.com/bbs/dpj-154514-1.html
(出处: 单片机论坛)

回复

使用道具 举报

9#
ID:505326 发表于 2019-4-5 14:54 | 只看该作者
我想问问你用的GPS模块传感器型号是什么?
回复

使用道具 举报

10#
ID:399475 发表于 2019-4-12 14:09 来自手机 | 只看该作者
没有原理图不好做呀
回复

使用道具 举报

11#
ID:64053 发表于 2020-6-8 00:06 | 只看该作者
这款能显示卫星数吗
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表