找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 3025|回复: 1
打印 上一主题 下一主题
收起左侧

很简练的8位CRC校验程序,刚接触CRC校验的可以研究一下

[复制链接]
跳转到指定楼层
楼主
如题,和移位寄存器原理差不多,只能校验8位,功能比较单一。

vhdl源程序如下:
  1. module crc8(                    /*x^8+x^5+x^4+1*/
  2.     input            rst,     /*async reset,active low*/
  3.     input            clk,     /*clock input*/
  4.     input     [7:0]  data_in, /*parallel data input pins */
  5.     output reg[7:0] crc
  6. );

  7. integer i;
  8. reg feedback;
  9. reg [7:0] crc_tmp;
  10. /*
  11. *  sequential process
  12. */
  13. always @(posedge clk or negedge rst)
  14. begin
  15.     if(!rst)
  16.         crc <= 8'b0;          /*触发器中的初始值 */
  17.     else
  18.         crc <= crc_tmp;
  19. end

  20. /*
  21. *   combination process
  22. */
  23. always@( data_in)
  24. begin
  25.     crc_tmp = data_in;
  26.     for(i=7; i>=0; i=i-1)
  27.     begin
  28.         feedback    = crc_tmp[7];
  29.         crc_tmp[7]  = crc_tmp[6];
  30.         crc_tmp[6]  = crc_tmp[5];
  31.         crc_tmp[5]  = crc_tmp[4] ^ feedback;
  32.         crc_tmp[4]  = crc_tmp[3] ^ feedback;
  33.         crc_tmp[3]  = crc_tmp[2];
  34.         crc_tmp[2]  = crc_tmp[1];
  35.         crc_tmp[1]  = crc_tmp[0];
  36.         crc_tmp[0]  = feedback;
  37.      end
  38. end

  39. endmodule
复制代码

所有资料51hei提供下载:
crc8.rar (2.27 MB, 下载次数: 15)


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:314046 发表于 2018-4-22 21:22 | 只看该作者
谢谢分享。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表