找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2849|回复: 0
打印 上一主题 下一主题
收起左侧

FPGA四选一选择器源码

[复制链接]
跳转到指定楼层
楼主


  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. ENTITY mux41 IS
  4.          
  5.         PORT
  6.         (
  7.                 a,b,c,d                : IN        STD_LOGIC;
  8.                 s0,s1 : IN        STD_LOGIC;
  9.                  
  10.                 y        : OUT        STD_LOGIC
  11.         );
  12. END  ;
  13. ARCHITECTURE a OF mux41 IS
  14.         SIGNAL S : STD_LOGIC_VECTOR(1 DOWNTO 0);
  15.          
  16. BEGIN
  17.          S<=s1&s0;
  18.         process (s1,s0)
  19.         begin
  20.          case (S)is
  21.             when "00" =>y<=a;
  22.         when "01" =>y<=b;
  23.         when "10" =>y<=c;
  24.         when "11" =>y<=d;
  25.         end case;
  26.         end process;
  27. END a;
复制代码

全部资料51hei下载地址:
asd.rar (96.07 KB, 下载次数: 17)


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表