找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2138|回复: 0
打印 上一主题 下一主题
收起左侧

FPGA FSM MUX2部分代码

[复制链接]
跳转到指定楼层
楼主
需要的下载,要的加qq904145928

prj为工程文件存放目录
rtl为verilog可综合代码
img为设计相关图片存放目录(主要为了方便后期写文档)
doc为设计相关文档存放目录
testbench为对应的testbench存放目录

prj文件夹下的ip文件夹存放quartus ii中生成的ip核文件



单片机源程序如下:
  1. `timescale 1ns/1ns
  2. `define clock_period 20

  3. module Hello_tb;
  4.        
  5.         reg Clk;
  6.         reg Rst_n;
  7.         reg [7:0]ASCII;
  8.        
  9.         wire led;       
  10.        
  11.         Hello Hello0(
  12.                 .Clk(Clk),
  13.                 .Rst_n(Rst_n),
  14.                 .data(ASCII),
  15.                 .led(led)
  16.         );
  17.        
  18.         initial Clk = 1;
  19.         always#(`clock_period/2)Clk = ~Clk;
  20.        
  21.         initial begin
  22.                 Rst_n = 0;
  23.                 ASCII = 0;
  24.                 #(`clock_period*200);
  25.                 Rst_n = 1;
  26.                 #(`clock_period*200 + 1);
  27.                 forever begin
  28.                         ASCII = "I";
  29.                         #(`clock_period);
  30.                         ASCII = "A";
  31.                         #(`clock_period);
  32.                         ASCII = "M";
  33.                         #(`clock_period);
  34.                         ASCII = "X";
  35.                         #(`clock_period);
  36.                         ASCII = "i";
  37.                         #(`clock_period);
  38.                         ASCII = "a";
  39.                         #(`clock_period);
  40.                         ASCII = "o";       
  41.                         #(`clock_period);
  42.                         ASCII = "M";
  43.                         #(`clock_period);
  44.                         ASCII = "e";
  45.                         #(`clock_period);
  46.                         ASCII = "i";
  47.                         #(`clock_period);
  48.                         ASCII = "g";
  49.                         #(`clock_period);
  50.                         ASCII = "e";       
  51.                        
  52.                         #(`clock_period);
  53.                         ASCII = "H";
  54.                         #(`clock_period);
  55.                         ASCII = "E";
  56.                        
  57.                         #(`clock_period);
  58.                         ASCII = "M";
  59.                         #(`clock_period);
  60.                         ASCII = "l";
  61.                        
  62.                         #(`clock_period);
  63.                         ASCII = "H";
  64.                         #(`clock_period);
  65.                         ASCII = "E";
  66.                         #(`clock_period);
  67.                         ASCII = "L";
  68.                         #(`clock_period);
  69.                         ASCII = "L";
  70.                         #(`clock_period);
  71.                         ASCII = "O";
  72.                         #(`clock_period);
  73.                        
  74.                         ASCII = "H";
  75.                         #(`clock_period);
  76.                         ASCII = "e";
  77.                         #(`clock_period);
  78.                         ASCII = "l";
  79. ……………………

  80. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

所有资料51hei提供下载:
class8_FSM.rar (236.5 KB, 下载次数: 7)
class2_MUX2.rar (158.97 KB, 下载次数: 6)



分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表