找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 6693|回复: 0
打印 上一主题 下一主题
收起左侧

利用按键和开关的状态来控制LED灯的亮灭 我的Verilog课程学习

[复制链接]
跳转到指定楼层
楼主
学校数电课要求我们学习Verilog,以及STEP-MAX10。以下是小脚丫的图片以及简单的程序。



  1. // ********************************************************************
  2. // >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<
  3. // ********************************************************************
  4. // File name    : LED.v
  5. // Module name  : LED
  6. // Author       : STEP
  7. // Description  : control LED
  8. //
  9. // --------------------------------------------------------------------
  10. // Code Revision History :
  11. // --------------------------------------------------------------------
  12. // Version: |Mod. Date:   |Changes Made:
  13. // V1.0     |2017/03/02   |Initial ver
  14. // --------------------------------------------------------------------
  15. // Module Function:利用按键和开关的状态来控制LED灯的亮灭。

  16. module LED (key,sw,led);

  17.         input [3:0] key;                                                //按键输入信号
  18.         input [3:0] sw;                                                        //开关输入信号
  19.         output [7:0] led;                                                //输出信号到LED

  20.         assign led = {key,sw};                                          //assign连续赋值。大括号是拼接符,表示把key和sw拼接组成一个新的8位数赋值给led

  21. endmodule
复制代码

信号名称
分配管脚
信号名称
分配管脚
LED[0]
N15
SW[0]
J12
LED[1]
N14
SW[1]
H11
LED[2]
M14
SW[2]
H12
LED[3]
M12
SW[3]
H13
LED[4]
L15
KEY[0]
J9
LED[5]
K12
KEY[1]
K14
LED[6]
L11
KEY[2]
J11
LED[7]
K11
KEY[3]
J14

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表