找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2632|回复: 0
收起左侧

VHDL设计洗衣机含暂停和开始键待机2秒正转8秒待机2秒反转8秒待机2秒循环3次结束响铃

[复制链接]
ID:424981 发表于 2019-5-27 21:18 | 显示全部楼层 |阅读模式
VHDL设计洗衣机电路,暂停和开始键,待机2秒正转8秒待机2秒反转8秒待机2秒,循环3次结束后响铃3秒结束。
0.png
按键对照表:
CLK_50M                     91

start1              KEY1     11

Zhanting         KEY2     25

led_zheng       LED1     39

led_fan           LED2      31

led_stop         LED3       3

beep                             7  
         
WEI[0]           SEG_C1    98
WEI[1]           SEG_C2    99
WEI[2]           SEG_C3    86
WEI[3]           SEG_C4    87

LED7[0]            G          103
LED7[1]            F           101
LED7[2]            E           106
LED7[3]            D          110
LED7[4]            C          104
LED7[5]            B           111
LED7[6]            A           100

vhdl源程序如下:
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. USE IEEE.STD_LOGIC_ARITH.ALL;
  4. USE IEEE.STD_LOGIC_UNSIGNED.ALL;
  5. ENTITY xiyiji IS
  6.   PORT( CLK_50M,Zhanting,start1:IN STD_LOGIC;
  7.                   WEI,time_1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  8.                  LED7:OUIS
  9.     COMPONENT div
  10.            PORT(CLK_IN:IN STD_LOGIC;T STD_LOGIC_VECTOR(6 DOWNTO 0);
  11.                   led_zheng,led_fan,led_stop,beep:out std_logic
  12.        );
  13. END ENTITY xiyiji;
  14.   ARCHITECTURE BHV_0 OF xiyiji
  15.            CLK_OUT:OUT STD_LOGIC);
  16.     END COMPONENT;
  17.          
  18.          COMPONENT decoder
  19.            PORT(D_IN : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  20.            DOUT : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));
  21.     END COMPONENT;
  22.          
  23.          COMPONENT keshe
  24.            PORT(
  25.         emergency,clk,start: IN STD_LOGIC;
  26.                   counter,times :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  27.                   zheng,fan,stop,ring:OUT STD_LOGIC
  28.            );
  29.     END COMPONENT;
  30.          
  31. SIGNAL H:STD_LOGIC;
  32. SIGNAL S:STD_LOGIC_VECTOR(3 DOWNTO 0);

  33. BEGIN
  34.   U1:div PORT
  35.   MAP(CLK_IN=>CLK_50M,CLK_OUT=>H);
  36.   U2:keshe PORT
  37.   MAP(CLK=>H,counter=>S,emergency=>Zhanting,start=>start1,
  38.       ring=>beep,times=>time_1,zheng=>led_zheng,fan=>led_fan,stop=>led_stop);
  39.   U3:decoder PORT
  40.   MAP(D_IN=>S,DOUT=>LED7);
  41.   WEI<="0111";
  42.   
  43. END BHV_0;
复制代码

全部资料51hei下载地址:
keshe.zip (3.46 MB, 下载次数: 41)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表