找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2525|回复: 0
打印 上一主题 下一主题
收起左侧

EDA半加器与全加器vhdl编写

[复制链接]
跳转到指定楼层
楼主
ID:422604 发表于 2019-11-18 11:14 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
半加器
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY hadd IS

        PORT
        (
                a,b        : IN        STD_LOGIC;
                s,c : OUT        STD_LOGIC
        );
END hadd;
ARCHITECTURE one OF hadd IS

BEGIN
        s<=a xor b;
        c<=a and b;
END one;


全加器
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY  f_adder IS

        PORT
        (
                ain,bin,cin        : IN        STD_LOGIC;

                cout,sum    : OUT        STD_LOGIC
        );
END f_adder;
ARCHITECTURE one OF f_adder IS
component hadd
port(a,b :in std_logic;
         s,c :out std_logic);
end component;
        signal x,y,z : std_logic;
BEGIN
        U1:hadd port map(a=>ain,b=>bin,c=>x,s=>y);
        U2:hadd port map(a=>y,b=>cin,s=>sum,c=>z);
        cout <= (x or z);
END one;



eda.rar

92.29 KB, 下载次数: 9, 下载积分: 黑币 -5

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表