找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2708|回复: 0
收起左侧

四人抢答器VHDL编写程序控制Quartus II

[复制链接]
ID:422604 发表于 2019-11-27 08:49 | 显示全部楼层 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity sirenqiangda is
     port(rst,rst2,clk,en,en1,ta,tb,lad,a,b,c,d,stop,add:in std_logic;
          ring,warn:out std_logic;
          digit1,digit2:out std_logic_vector(3 downto 0);
          a_out, b_out, c_out, d_out:buffer std_logic_vector(3 downto 0);
          states:buffer std_logic_vector(3 downto 0));
end sirenqiangda;

architecture one of sirenqiangda is
   component qiangda is
       port(rst,clk,en,a,b,c,d:in std_logic;
            ring:out std_logic;
            state:out std_logic_vector(3 downto 0));
   end component;
   component jishu is
      port(rst,clk,en1,ta,tb,lad,stop:in std_logic;
           warn:out std_logic;
           digit1,digit2:out std_logic_vector(3 downto 0));
   end component;
   component jifen is
     port(rst2,clk,en,add:in std_logic;
          state:in std_logic_vector(3 downto 0);
          a_out, b_out, c_out, d_out:buffer std_logic_vector(3 downto 0));
   end component;
begin
      U1: qiangda port map (rst,clk,en,a,b,c,d,ring,states);
      U2: jishu   port map(rst,clk,en1,ta,tb,lad,stop,warn,digit1,digit2);
      U3: jifen   port map (rst2,clk,en,add,states,a_out, b_out, c_out, d_out);
end one;


四人抢答.rar

568.13 KB, 下载次数: 35, 下载积分: 黑币 -5

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表