找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2985|回复: 0
打印 上一主题 下一主题
收起左侧

EDA quartusII的数字式抢答器vhdl源程序

[复制链接]
跳转到指定楼层
楼主
ID:423224 发表于 2019-12-12 08:29 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
  • 设计一个数字式竞赛抢答器,可以判断第一轮抢答者,并具备计分功能。
  • 抢答器可以容纳4组参赛者同时抢答,每组设置1个按钮供抢答者使用。设置抢答器使能信号,当此信号有效时,若参赛者按下抢答开关,则抢答器能判断出第一抢答者并指示该组抢答成功,其他组参赛者的抢答者的抢答开关不起作用。若提前抢答,则对相应的参赛者发出报警。
  • 系统具有清零功能。当清零复位信号有效时,抢答器对前一轮抢答的第一抢答者判断结果进行清零,恢复为初始状态。
  • 数字式竞赛抢答器还具有计分功能。如果抢答成功的参赛者满足得分条件,则增加相应的分数,答错不扣分。
(二)系统设计方案
根据系统设计要求可知,系统由4个主要的电路模块组成,分别是第一判断电路、计分电路、20s倒计时电路和显示电路。
其中,第一判断电路主要完成最快抢答者的判断功能;计分电路存储每组竞赛者的分数;显示电路则显示抢答器的状态和各组的分数。因此,数字式竞赛抢答器的输入信号包括复位信号CLR、抢答器使能信号EN_KEY_IN、4组参赛者的抢答按钮A_1/B_2/C_3/D_4以及加分信号ADD_1,加5分使能信号ADD_5_EN;输出信号包括4组参赛者抢答状态的显示LEDx(x表示参赛者编号)及其对应的得分SCOREX、抢答器抢答成功的组别显示,最先抢答组显示FIRST[3..0],提前抢答组显示FALSE[3..0],轮流输出各组号以及分数OUT_1,OUT_2,OUT_3等。系统框图如图所示:

1.              抢答器的工作流程如下:
如果参赛者在抢答器使能信号EN有效前按下抢答按钮,报警信号FALSE[3..0]的对应位输出高电平,以示警告;当EN信号有效时,抢答器开始工作,将报警信号FALSE清零,A、B、C、D,4个抢答者谁先按下抢答按钮,则抢答成功,对应的显示灯LEDx亮起,并通过显示电路模块显示其参赛编FIRST[3..0];抢答成功的选手进入答题阶段,如正确回答问题,则加分信号ADD有效,计分模块给相应的参赛组加分,每个参赛组得分的个位、十位、百位分别通过信号OUT_BCD1[3..0]、OUT_BCD2[3..0]、OUT_BCD3[3..0]、显示。
如果复位信号CLR有效,使得抢答器在下一轮抢答前,其抢答成功的组别判断恢复为始状态,以便重新开始新一轮抢答。复位信号不改变竞赛者的现有得分。
2.流程图:
(三)主要模块设计1.0                            第一判断电路
第一判断电路模块具有第一抢答信号的鉴别和锁存功能,其电路框图如图所示。
其中,CLR为复位信号,当该信号高电平有效时,电路无论处于何种状态都恢复为初始状态即所有的输出信号都为0;EN为抢答使能信号,该信号高电平有效;A、B、C、D为抢答按钮,高电平有效。
当使能信号EN为低电平时,如果有参赛者按下抢答按钮,则提前抢答报警信号FALSE[3.0]的对应位输出高电平,以示警告;当使能信号EN为高电平时,首先将提前抢答报警信号FALSE [3.0]复位清零,然后根据选手按下抢答按钮A、B、C、D的先后顺厅选择最先抢答的信号,其对应的抢答状态显示信号LEDA~LEDD输出高电平,抢答成功组别编号由信号Q[3..0]输出,并锁存抢答器此时的 状态,直到清零信号有效为止。在每一轮新的抢答之前,都要使用复位清零信号CLR,清除上一轮抢答对判断电路留下的使用痕迹,使电路恢复初始状态。

1.1             第一判断电路波形仿真图

2.0                            计分电路
计分电路在参赛者抢答成功后,根据其比赛情况进行比较分数的调整,其电路框图如右图所示。该模块输入信号为加分信号ADD_1和组别选择信号CHOS[3.0]。
其中加分信号ADD_1高电平有效,有效时对组别选择信号CHOS[3..0]选择的参数组进行加分;组别选择输入信号CHOS[3..0]即第一判断电路模块的输出信号Q [3.0]。输出信号分别对应4组竞赛者的得分,以百分制表示。每组分数在比赛开始时预设为100分,每答对1题(即加分选择信号对相应参赛组有效)加1分,答错不扣分。得分的各位、十位、百位表示为宽为4的逻辑矢量,使之方便与显示电路级联,从而输出比赛得分。
当加5分使能信号ADD_5_EN为高电平时ADD每按一次加5分

2.1                            计分电路波形仿真图

3.0                            倒计时电路
倒计时电路用来记录正常抢答开始后的时间,定时为20秒,在20秒内抢答有效,否则该题作废。其电路图如右图:

其中,CLK_1Hz是时钟信号,频率为1Hz,用来计时,间隔为1秒;EN_IN是使能信号,高电平有效开始倒计时,ENDTIME输出信号,用来提示时间到,连接LED灯,当时间为0时输出高电平,led灯点亮。SEC_SHI[3..0]、SEC_GE[3..0]分别是倒计时的十位和各位,连接带译码器的数码管,用来显示倒计时的时间。

3.1                            倒计时电路波形仿真图

4.0                            显示电路
显示电路用来轮流显示各组的得分情况。由于实验箱数码管有限,所以采用了数码管分时复用的方法来显示各组的得分情况。其电路框图如右图:

显示电路中A2[3..0]、A1[3..0]、A0[3..0];B2[3..0]、B1[3..0]、B0[3..0];C2[3..0]、C1[3..0]、C0[3..0]。是各组分数的百位、十位、以及各位。OUT_BCD1[3..0]、OUT_BCD2[3..0]、OUT_BCD3[3..0]用来输出至带有译码器的数码管,用于分时显示各组号以及对应的分数。CLK_1Hz是输入的时钟信号,频率为1Hz,用来间隔1秒轮流显示。
其分时显示流程如下:
4.1                            显示电路波形仿真

4.2显示电路分时显示各组分数流程图:

(四)系统整体原理图
上述VHDL源程序构成了一个具有抢答、计分、倒计时警报功能的数字系统,通过仿真生成的RTL电路如图所示:

图中,输出FALSE[3..0]、GE[3..0] 、SHI[3..0]、BAI[3..0] 、FIRST[3..0]、SMG_GE[3..0] 、SMG_SHI[3..0]还需要通过LED共阴极译码器译码显示为十进制数,译码显示电路部分在此略过。值得注意的是第一抢答判断电路模块的输出信号Q[3..0],它既是整个数字式抢答器输出的一部分,显示抢答成功的选手编号,又作为计分模块的输入信号,以它为依据对相应的选手进行加分操作。

(五)系统波形仿真
第一抢答判断模块的仿真波形如下图所示。从图中可以看出,当清零复位信号CLR高电平有效时,电路状态立刻被恢复为全0的初始状态。在抢答使能信号无效时抢答,输出警告信号,提前抢答者对应的组号会用数码管输出,以示警告。
当抢答使能信号高电平有效时,最先抢答的选手对应的显示灯LEDx亮起,Q[3..0]输出抢答成功的选手编号。仿真结果与系统设计要求的功能相吻合。
输入信号CHOS[3.0]以十进制的形式表示,1,2,3,4,8分别代表选择AB、C、D4组选手在加分信号ADD_1的上升沿对选中的参赛者进行加分,从高至低依次为百位、十位、个位。
1






(七)模式选择
根据实际情况,应选择:模式5.
选择理由:模式5带有译码器芯片,可以减少译码电路。带有对应的led灯以及数码管,便于显示对应的组号。同时模式5有8个键控高低电平发生器,可以满足抢答器电路的需求。
(八)引脚绑定
(九)试验箱测试图
【1】开始抢答。
【2】3号组抢答成功。
【3】进行加分,加5分。
【4】清零,准备下一次抢答。

(十)总结:
于个人,通过这次设计,我进一步加深了对电子设计的了解。并进一步熟练了对QuartusII软件的操作,基本掌握了VHDL这门硬件编程语言。EDA也不像学习理论般那么空洞,有了更加贴切的了解及运用。做设计时,先查阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把要实现的功能用流程图的形式展示。最后参照每个模块把输入和输出引脚设定,运用我们所学的VHDL语言进行编程。总之,通过这次的设计,进一步了解了EDA技术,收获很大,对软件编程、排错调试、相关仪器设备的使用技能等方面得到较全面的锻炼和提高

  1. 程序:
  2. --顶层模块的VHDL   
  3. LIBRARY IEEE;
  4. USE IEEE.STD_LOGIC_1164.ALL;
  5. ENTITY TOP_ENTITY IS
  6.         PORT
  7.         (
  8.                 CLK_IN_1Hz:IN STD_LOGIC;
  9.                 CLR:IN STD_LOGIC;                                                                        --清零信号   
  10.                 EN_KEY_IN:IN STD_LOGIC;                                                                --抢答开始信号      高电平有效      
  11.                 A_1,B_2,C_3,D_4:IN STD_LOGIC;
  12.                 ADD_1:IN STD_LOGIC;                                                                        --加分信号   
  13.                 LEDA,LEDB,LEDC,LEDD,LED_ENDTIME:OUT STD_LOGIC;
  14.                 SEC_SHI:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  15.                 SEC_GE:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  16.                 FIRST:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  17.                 FALSE:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  18.                 OUT_1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                                --显示个位    十位     百位                                 
  19.                 OUT_2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  20.                 OUT_3:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
  21.         );
  22. END ENTITY TOP_ENTITY;
  23. ARCHITECTURE RTL OF TOP_ENTITY IS
  24. COMPONENT OPT IS
  25.         PORT (
  26.                         CLR:IN STD_LOGIC;                                                                --复位信号   
  27.                         EN :IN STD_LOGIC;                                                                --抢答使能信号      
  28.                         A,B,C,D:IN STD_LOGIC;                                                        --抢答按钮   
  29.                         LEDA:OUT STD_LOGIC;
  30.                         LEDB:OUT STD_LOGIC;
  31.                         LEDC:OUT STD_LOGIC;
  32.                         LEDD:OUT STD_LOGIC;
  33.                         FALSE:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                        --提前抢答警报   
  34.                         Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)                                --抢答成功组显示      
  35.                   );
  36. END COMPONENT OPT;
  37. COMPONENT COUNTER IS
  38.         PORT
  39.         (
  40.                 ADD_1:IN STD_LOGIC;                                                                        --加分信号  
  41.                 CHOS:IN STD_LOGIC_VECTOR(3 DOWNTO 0);                                --参赛组选择信号   
  42.                 A2,A1,A0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                        --各组计分信号   
  43.                 B2,B1,B0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  44.                 C2,C1,C0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  45.                 D2,D1,D0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)        
  46.         );
  47. END COMPONENT COUNTER;
  48. COMPONENT OUTPUT IS                                                                                        --提前抢答警告   
  49.         PORT
  50.         (
  51.                 DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  52.                 DOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
  53.         );
  54. END COMPONENT OUTPUT;
  55. COMPONENT endtime_20 IS
  56.         PORT
  57.         (
  58.                 CLK_1Hz :IN STD_LOGIC;                                                                --时钟信号         
  59.                 EN_in  :IN STD_LOGIC;                                                                --使能          计时开始  
  60.                 SEC_SHI:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                        --秒各位        BCD    4位2进制输出      
  61.                 SEC_GE:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                --秒百位        BCD    4位2进制输出  
  62.                 ENDTIME:OUT STD_LOGIC                                                                 --时间到警报   接蜂鸣器   
  63.         );
  64. END COMPONENT endtime_20;
  65. COMPONENT DISPLAY IS
  66.         PORT
  67.         (
  68.                 CLK_1Hz:IN STD_LOGIC;
  69.                 A2,A1,A0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);                        --各组计分信号   
  70.                 B2,B1,B0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  71.                 C2,C1,C0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  72.                 D2,D1,D0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);               
  73.                 OUT_BCD1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                        --输出个十百位      
  74.                 OUT_BCD2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  75.                 OUT_BCD3:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
  76.         );
  77. END COMPONENT DISPLAY;
  78. SIGNAL Q:STD_LOGIC_VECTOR(3 DOWNTO 0);
  79. SIGNAL AA2,AA1,AA0:STD_LOGIC_VECTOR(3 DOWNTO 0);
  80. SIGNAL BB2,BB1,BB0:STD_LOGIC_VECTOR(3 DOWNTO 0);
  81. SIGNAL CC2,CC1,CC0:STD_LOGIC_VECTOR(3 DOWNTO 0);
  82. SIGNAL DD2,DD1,DD0:STD_LOGIC_VECTOR(3 DOWNTO 0);
  83. BEGIN
  84.         U1:OPT                 PORT MAP(CLR,EN_KEY_IN,A_1,B_2,C_3,D_4,LEDA,LEDB,LEDC,LEDD,FALSE,Q);
  85.         U2:COUNTER         PORT MAP(ADD_1,Q,AA2,AA1,AA0,BB2,BB1,BB0,CC2,CC1,CC0,DD2,DD1,DD0);
  86.         U3:OUTPUT   PORT MAP(Q,FIRST);
  87.         U4:DISPLAY PORT MAP(CLK_IN_1Hz,AA2,AA1,AA0,BB2,BB1,BB0,CC2,CC1,CC0,DD2,DD1,DD0,OUT_1,OUT_2,OUT_3);
  88.         U5:endtime_20 PORT MAP(CLK_IN_1Hz,EN_KEY_IN,SEC_SHI,SEC_GE,LED_ENDTIME);
  89. END ARCHITECTURE RTL;
  90. --第一抢答判断  电路   的VHDL程序   
  91. LIBRARY IEEE;
  92. USE IEEE.STD_LOGIC_1164.ALL;
  93. ENTITY OPT IS
  94.         PORT (
  95.                         CLR:IN STD_LOGIC;                                                                --复位信号   
  96.                         EN :IN STD_LOGIC;                                                                --抢答使能信号      
  97.                         A,B,C,D:IN STD_LOGIC;                                                        --抢答按钮   
  98.                         LEDA:OUT STD_LOGIC:='0';                                                --抢答成功   对应组的led灯点亮      
  99.                         LEDB:OUT STD_LOGIC:='0';
  100.                         LEDC:OUT STD_LOGIC:='0';
  101.                         LEDD:OUT STD_LOGIC:='0';
  102.                         FALSE:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                        --提前抢答警报   
  103.                         Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)                                --抢答成功组显示      
  104.                   );
  105. END ENTITY OPT;
  106. ARCHITECTURE RTL OF OPT IS
  107.         SIGNAL TMP:STD_LOGIC_VECTOR(3 DOWNTO 0);                        
  108.         SIGNAL TAG:STD_LOGIC;                                                               
  109. BEGIN
  110.         TMP<=A&B&C&D;
  111.         PROCESS(CLR,EN,A,B,C,D,TMP,TAG)
  112.         BEGIN                                                                                                        --设置锁存标志位     
  113.                 IF CLR='1' THEN
  114.                         Q<="0000";
  115.                         LEDA<='0';
  116.                         LEDB<='0';
  117.                         LEDC<='0';
  118.                         LEDD<='0';
  119.                         FALSE<="0000";
  120.                         TAG<='0';
  121.                 ELSIF EN='0'        THEN
  122.                         IF TAG='0'        THEN
  123.                                 IF A='1'        THEN
  124.                                         FALSE(0)<='1';
  125.                                 END IF;
  126.                                 IF B='1'        THEN
  127.                                         FALSE(1)<='1';
  128.                                 END IF;
  129.                                 IF C='1'        THEN
  130.                                         FALSE(2)<='1';
  131.                                 END IF;        
  132.                                 IF D='1'        THEN
  133.                                         FALSE(3)<='1';
  134.                                 END IF;
  135.                         END IF;        
  136.                 ELSE
  137.                         FALSE<="0000";
  138.                         IF TAG='0'        THEN
  139.                                 IF        TMP="1000"        THEN
  140.                                         LEDA<='1';               
  141.                                         LEDB<='0';               
  142.                                         LEDC<='0';                                
  143.                                         LEDD<='0';        
  144.                                         Q<="0001";
  145.                                         TAG<='1';
  146.                                 ELSIF TMP="0100"THEN        
  147.                                         LEDA<='0';               
  148.                                         LEDB<='1';               
  149.                                         LEDC<='0';                                
  150.                                         LEDD<='0';        
  151.                                         Q<="0010";
  152.                                         TAG<='1';
  153.                                 ELSIF TMP="0010"THEN        
  154.                                         LEDA<='0';               
  155.                                         LEDB<='0';               
  156.                                         LEDC<='1';                                
  157.                                         LEDD<='0';        
  158.                                         Q<="0011";
  159.                                         TAG<='1';
  160.                                 ELSIF TMP="0001"THEN        
  161.                                         LEDA<='0';               
  162.                                         LEDB<='0';               
  163.                                         LEDC<='0';                                
  164.                                         LEDD<='1';        
  165.                                         Q<="0100";
  166.                                         TAG<='1';
  167.                                 ELSE
  168.                                         LEDA<='Z';
  169.                                         LEDB<='Z';
  170.                                         LEDC<='Z';
  171.                                         LEDD<='Z';
  172.                                         Q<="ZZZZ";
  173.                                 END IF;
  174.                         END IF;
  175.                 END IF;
  176.         END PROCESS;
  177. END RTL;
  178.                                        
  179. --计分电路的VHDL程序  ADD_1                                ADD_5        
  180. LIBRARY IEEE;
  181. USE IEEE.STD_LOGIC_1164.ALL;
  182. USE IEEE.STD_LOGIC_UNSIGNED.ALL;
  183. USE IEEE.STD_LOGIC_ARITH.ALL;
  184. ENTITY ADD_1_5 IS
  185.         PORT
  186.         (
  187.                 ADD_5_EN,ADD:IN STD_LOGIC;                                                                                        --加分信号  
  188.                 CHOS:IN STD_LOGIC_VECTOR(3 DOWNTO 0);                                                                        --参赛组选择信号   
  189.                 A2,A1,A0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";                                                --各组计分信号   
  190.                 B2,B1,B0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";
  191.                 C2,C1,C0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";
  192.                 D2,D1,D0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"        
  193.         );
  194. END ENTITY ADD_1_5;
  195. ARCHITECTURE RTL OF ADD_1_5 IS
  196. BEGIN
  197.         PROCESS(ADD,ADD_5_EN,CHOS)
  198.         VARIABLE POINTS_A2,POINTS_A1,POINTS_A0:STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";
  199.         VARIABLE POINTS_B2,POINTS_B1,POINTS_B0:STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";
  200.         VARIABLE POINTS_C2,POINTS_C1,POINTS_C0:STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";
  201.         VARIABLE POINTS_D2,POINTS_D1,POINTS_D0:STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";
  202.         BEGIN
  203.                 IF ADD_5_EN='1'                THEN
  204.                 IF ADD='1'        AND ADD'EVENT        THEN
  205.                         CASE CHOS IS               
  206.                         WHEN "0001" =>                FOR I IN 0 TO 4 LOOP        
  207.                                                                         IF POINTS_A0="1001"        THEN
  208.                                                                                 POINTS_A0:="0000";     
  209.                                                                                 IF POINTS_A1="1001"         THEN
  210.                                                                                         POINTS_A1:="0000";
  211.                                                                                         IF POINTS_A2="1001"        THEN                                --十位分9      
  212.                                                                                                 POINTS_A2:="0000";                                        --十位清零      
  213.                                                                                         ELSE
  214.                                                                                                 POINTS_A2:=POINTS_A2+'1';
  215.                                                                                         END IF;
  216.                                                                                 ELSE
  217.                                                                                         POINTS_A1:=POINTS_A1+'1';
  218.                                                                                 END IF;
  219.                                                                         ELSE
  220.                                                                                 POINTS_A0:=POINTS_A0+'1';
  221.                                                                         END IF;        
  222.                                                                 END LOOP;
  223.                         WHEN "0010" =>                FOR I IN 0 TO 4 LOOP        
  224.                                                                         IF POINTS_B0="1001"        THEN
  225.                                                                                 POINTS_B0:="0000";     
  226.                                                                                 IF POINTS_B1="1001"         THEN
  227.                                                                                         POINTS_B1:="0000";
  228.                                                                                         IF POINTS_B2="1001"        THEN                                --十位分9      
  229.                                                                                                 POINTS_B2:="0000";                                        --十位清零      
  230.                                                                                         ELSE
  231.                                                                                                 POINTS_B2:=POINTS_B2+'1';
  232.                                                                                         END IF;
  233.                                                                                 ELSE
  234.                                                                                         POINTS_B1:=POINTS_B1+'1';
  235.                                                                                 END IF;
  236.                                                                         ELSE
  237.                                                                                 POINTS_B0:=POINTS_B0+'1';
  238.                                                                         END IF;        
  239.                                                                 END LOOP;
  240.                         WHEN "0011" =>                FOR I IN 0 TO 4 LOOP        
  241.                                                                         IF POINTS_C0="1001"        THEN
  242.                                                                                 POINTS_C0:="0000";     
  243.                                                                                 IF POINTS_C1="1001"         THEN
  244.                                                                                         POINTS_C1:="0000";
  245.                                                                                         IF POINTS_C2="1001"        THEN                                --十位分9      
  246.                                                                                                 POINTS_C2:="0000";                                        --十位清零      
  247.                                                                                         ELSE
  248.                                                                                                 POINTS_C2:=POINTS_C2+'1';
  249.                                                                                         END IF;
  250.                                                                                 ELSE
  251.                                                                                         POINTS_C1:=POINTS_C1+'1';
  252.                                                                                 END IF;
  253.                                                                         ELSE
  254.                                                                                 POINTS_C0:=POINTS_C0+'1';
  255.                                                                         END IF;        
  256.                                                                 END LOOP;
  257.                         WHEN "0100" =>                FOR I IN 0 TO 4 LOOP        
  258.                                                                         IF POINTS_D0="1001"        THEN
  259.                                                                                 POINTS_D0:="0000";     
  260.                                                                                 IF POINTS_D1="1001"         THEN
  261.                                                                                         POINTS_D1:="0000";
  262.                                                                                         IF POINTS_D2="1001"        THEN                                --十位分9      
  263.                                                                                                 POINTS_D2:="0000";                                        --十位清零      
  264.                                                                                         ELSE
  265.                                                                                                 POINTS_D2:=POINTS_D2+'1';
  266.                                                                                         END IF;
  267.                                                                                 ELSE
  268.                                                                                         POINTS_D1:=POINTS_D1+'1';
  269.                                                                                 END IF;
  270.                                                                         ELSE
  271.                                                                                 POINTS_D0:=POINTS_D0+'1';
  272.                                                                         END IF;        
  273.                                                                 END LOOP;
  274.                         WHEN OTHERS =>  NULL;
  275.                         END CASE;
  276.                 END IF;
  277.         ELSE
  278.                         IF ADD='1'        AND ADD'EVENT        THEN
  279.                         CASE CHOS IS               
  280.                         WHEN "0001" =>                IF POINTS_A0="1001"        THEN
  281.                                                                                 POINTS_A0:="0000";     
  282.                                                                                 IF POINTS_A1="1001"         THEN
  283.                                                                                         POINTS_A1:="0000";
  284.                                                                                         IF POINTS_A2="1001"        THEN                                --十位分9      
  285.                                                                                                 POINTS_A2:="0000";                                        --十位清零      
  286.                                                                                         ELSE
  287.                                                                                                 POINTS_A2:=POINTS_A2+'1';
  288.                                                                                         END IF;
  289.                                                                                 ELSE
  290.                                                                                         POINTS_A1:=POINTS_A1+'1';
  291.                                                                                 END IF;
  292.                                                                         ELSE
  293.                                                                                 POINTS_A0:=POINTS_A0+'1';
  294.                                                                 END IF;        
  295.                         WHEN "0010" =>                IF POINTS_B0="1001"        THEN
  296.                                                                                 POINTS_B0:="0000";     
  297.                                                                                 IF POINTS_B1="1001"         THEN
  298.                                                                                         POINTS_B1:="0000";
  299.                                                                                         IF POINTS_B2="1001"        THEN                                --十位分9      
  300.                                                                                                 POINTS_B2:="0000";                                        --十位清零      
  301.                                                                                         ELSE
  302.                                                                                                 POINTS_B2:=POINTS_B2+'1';
  303.                                                                                         END IF;
  304.                                                                                 ELSE
  305.                                                                                         POINTS_B1:=POINTS_B1+'1';
  306.                                                                                 END IF;
  307.                                                                         ELSE
  308.                                                                                 POINTS_B0:=POINTS_B0+'1';
  309.                                                                         END IF;        
  310.                         WHEN "0011" =>                IF POINTS_C0="1001"        THEN
  311.                                                                                 POINTS_C0:="0000";     
  312.                                                                                 IF POINTS_C1="1001"         THEN
  313.                                                                                         POINTS_C1:="0000";
  314.                                                                                         IF POINTS_C2="1001"        THEN                                --十位分9      
  315.                                                                                                 POINTS_C2:="0000";                                        --十位清零      
  316.                                                                                         ELSE
  317.                                                                                                 POINTS_C2:=POINTS_C2+'1';
  318.                                                                                         END IF;
  319.                                                                                 ELSE
  320.                                                                                         POINTS_C1:=POINTS_C1+'1';
  321.                                                                                 END IF;
  322.                                                                         ELSE
  323.                                                                                 POINTS_C0:=POINTS_C0+'1';
  324.                                                                 END IF;        
  325.                         WHEN "0100" =>                IF POINTS_D0="1001"        THEN
  326.                                                                                 POINTS_D0:="0000";     
  327.                                                                                 IF POINTS_D1="1001"         THEN
  328.                                                                                         POINTS_D1:="0000";
  329.                                                                                         IF POINTS_D2="1001"        THEN                                --十位分9      
  330.                                                                                                 POINTS_D2:="0000";                                        --十位清零      
  331.                                                                                         ELSE
  332.                                                                                                 POINTS_D2:=POINTS_D2+'1';
  333.                                                                                         END IF;
  334.                                                                                 ELSE
  335.                                                                                         POINTS_D1:=POINTS_D1+'1';
  336.                                                                                 END IF;
  337.                                                                         ELSE
  338.                                                                                 POINTS_D0:=POINTS_D0+'1';
  339.                                                                 END IF;        
  340.                         WHEN OTHERS =>  NULL;
  341.                         END CASE;
  342.                 END IF;
  343.         END IF;
  344.         A2<=POINTS_A2;A1<=POINTS_A1;A0<=POINTS_A0;
  345.         B2<=POINTS_B2;B1<=POINTS_B1;B0<=POINTS_B0;
  346.         C2<=POINTS_C2;C1<=POINTS_C1;C0<=POINTS_C0;
  347.         D2<=POINTS_D2;D1<=POINTS_D1;D0<=POINTS_D0;
  348.         END PROCESS;
  349. END RTL;
  350. --显示提前抢答组电路的VHDL程序     
  351. LIBRARY IEEE;
  352. USE IEEE.STD_LOGIC_1164.ALL;
  353. ENTITY OUTPUT IS
  354.         PORT
  355.         (
  356.                 DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);                --输入提前抢答的组号     
  357.                 DOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)                --输出提前抢答的组号      
  358.         );
  359. END ENTITY OUTPUT;
  360. ARCHITECTURE RTL OF OUTPUT IS
  361. BEGIN
  362.         PROCESS(DIN)
  363.         BEGIN
  364.                 CASE DIN IS
  365.                         WHEN"0001"=>DOUT<="0001";
  366.                         WHEN"0010"=>DOUT<="0010";
  367.                         WHEN"0011"=>DOUT<="0011";
  368.                         WHEN"0100"=>DOUT<="0100";
  369.                         WHEN OTHERS=>DOUT<="0000";
  370.                 END CASE;
  371.         END PROCESS;
  372. END RTL;
  373. --动态各组分数显示    轮流显示   
  374. LIBRARY IEEE;
  375. USE IEEE.STD_LOGIC_1164.ALL;
  376. USE IEEE.STD_LOGIC_UNSIGNED.ALL;
  377. ENTITY DISPLAY IS
  378.         PORT
  379.         (
  380.                 CLK_1Hz:IN STD_LOGIC;               
  381.                 A2,A1,A0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);                        --各组计分信号   
  382.                 B2,B1,B0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  383.                 C2,C1,C0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  384.                 D2,D1,D0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
  385.                
  386.                 OUT_BCD1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                        --输出个十百位      
  387.                 OUT_BCD2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
  388.                 OUT_BCD3:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)        
  389.         );
  390. END ENTITY DISPLAY;
  391. ARCHITECTURE BEHAVE OF DISPLAY IS
  392. SIGNAL FILG:STD_LOGIC_VECTOR(2 DOWNTO 0):="000";
  393. BEGIN
  394.         PROCESS(CLK_1Hz,FILG)
  395.                 BEGIN
  396.                         IF CLK_1Hz'EVENT AND CLK_1Hz='1'        THEN
  397.                                 FILG<=FILG+'1';
  398.                         END IF;
  399.                         
  400.                         CASE FILG IS                                                                        --动态循环显示     
  401.                         WHEN "000" =>        OUT_BCD1<="0001";                                --第一轮显示组号                                                                                 
  402.                         WHEN "001" =>        OUT_BCD1<=A0;                                        --第二轮显示分数      
  403.                                                         OUT_BCD2<=A1;
  404.                                                         OUT_BCD3<=A2;                                            
  405.                                 
  406.                         WHEN "010" =>        OUT_BCD1<="0010";
  407.                         WHEN "011" =>        OUT_BCD1<=B0;
  408.                                                         OUT_BCD2<=B1;
  409.                                                         OUT_BCD3<=B2;
  410.                         
  411.                         WHEN "100" =>        OUT_BCD1<="0011";
  412.                         WHEN "101" =>        OUT_BCD1<=C0;
  413.                                                         OUT_BCD2<=C1;
  414.                                                         OUT_BCD3<=C2;
  415.                         
  416.                         WHEN "110" =>        OUT_BCD1<="0100";        
  417.                         WHEN "111" =>        OUT_BCD1<=D0;
  418.                                                         OUT_BCD2<=D1;
  419.                                                         OUT_BCD3<=D2;                                
  420.                         END CASE;        
  421.         END PROCESS;
  422. END ARCHITECTURE BEHAVE;
  423.                
  424. --20秒倒计时    显示   
  425. LIBRARY IEEE;
  426. USE IEEE.STD_LOGIC_1164.ALL;
  427. USE IEEE.STD_LOGIC_UNSIGNED.ALL;
  428. USE IEEE.STD_LOGIC_ARITH.ALL;
  429. ENTITY endtime_20 IS
  430.         PORT
  431.         (
  432.                 CLK_1Hz :IN STD_LOGIC;                                                                --时钟信号         
  433.                 EN_IN  :IN STD_LOGIC;                                                                --使能          计时开始  
  434.                 SEC_SHI:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                        --秒各位        BCD    4位2进制输出      
  435.                 SEC_GE:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);                  --秒百位        BCD    4位2进制输出  
  436.                 ENDTIME:OUT STD_LOGIC                                                                  --时间到警报   接蜂鸣器   
  437.         );
  438. END ENTITY endtime_20 ;
  439. ARCHITECTURE BEHAVE OF endtime_20 IS
  440.         SIGNAL CONTER_20:STD_LOGIC_VECTOR(4 DOWNTO 0):="00000";
  441. BEGIN
  442.         PROCESS(CLK_1Hz,EN_IN)
  443.                 BEGIN        
  444.                         IF EN_IN='1' THEN
  445.                                 IF CLK_1Hz='1' AND CLK_1Hz'EVENT         THEN
  446.                                         CASE CONTER_20        IS
  447.                                         WHEN "00000" =>SEC_SHI<="0010";  --20
  448.                                                                    SEC_GE<="0000";
  449.                                                                    CONTER_20<=CONTER_20+'1';
  450.                                                                
  451.                                                                    ENDTIME<='0';
  452.                                         WHEN "00001" =>SEC_GE<="1001";--19
  453.                                                                    SEC_SHI<="0001";
  454.                                                                    CONTER_20<=CONTER_20+'1';
  455.                                                                
  456.                                         WHEN "00010" =>SEC_GE<="1000";--18
  457.                                                                    SEC_SHI<="0001";
  458.                                                                    CONTER_20<=CONTER_20+'1';
  459.                                                                
  460.                                         WHEN "00011" =>SEC_GE<="0111";--17
  461.                                                                    SEC_SHI<="0001";
  462.                                                                    CONTER_20<=CONTER_20+'1';
  463.                                                                
  464.                                         WHEN "00100" =>SEC_GE<="0110";--16
  465.                                                                    SEC_SHI<="0001";
  466.                                                                    CONTER_20<=CONTER_20+'1';
  467.                                                                
  468.                                         WHEN "00101" =>SEC_GE<="0101";--15
  469.                                                                    SEC_SHI<="0001";
  470.                                                                    CONTER_20<=CONTER_20+'1';
  471.                                                                
  472.                                         WHEN "00110" =>SEC_GE<="0100";--14
  473.                                                                    SEC_SHI<="0001";
  474.                                                                    CONTER_20<=CONTER_20+'1';
  475. ……………………
  476. …………限于本文篇幅 余下代码请从51黑下载附件…………
复制代码

全部资料51hei下载地址:
END_DESITN_SECOND.rar (3.36 MB, 下载次数: 53)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏3 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表