找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 3349|回复: 1
打印 上一主题 下一主题
收起左侧

EDA智能电子抢答器的设计

[复制链接]
跳转到指定楼层
楼主
1. 设计任务
设计并制作一台智能电子抢答器。
2. 设计要求
① 用EDA实训仪的I/O设备和PLD芯片实现智能电子抢答器的设计。
② 智能电子抢答器可容纳4组参赛者抢答,每组设一个抢答钮。
③ 电路具有第一抢答信号的鉴别和锁存功能。在主持人将复位按钮按下后开始抢答,并用EDA实训仪上的八段数码管显示抢答者的序号,同时扬声器发出“嘟嘟”的响声,并维持3秒钟,此时电路自锁,不再接受其他选手的抢答信号。
④ 设计一个计分电路,每组在开始时设置为100分,抢答后由主持人计分,答对一次加10分,答错一次减10分。
⑤ 设计一个犯规电路,对提前抢答和超时抢答者鸣喇叭示警,并显示犯规的组别序号。

源程序如下:
  1. module qianda (s1,q,str,a,b,c,d,e,dtid,s2,clk);
  2. input s1,a,b,c,d,e,str,clk,s2;
  3. output reg dtid;
  4. output reg[3:0] q;
  5. reg flag;
  6. reg [24:0] i;
  7. always @(posedge clk or negedge str)
  8.         begin
  9.                 if(!str)
  10.                         begin
  11.                                 q=0;dtid=0;flag=0;
  12.                         end
  13.                 else if(s2==1)
  14.                         flag=1;
  15.                 else if(s1==1)
  16.                         begin
  17.                                 if(flag==0)
  18.                                         begin
  19.                                                 if(!a)                        //第a人按下
  20.                                                         begin
  21.                                                                 q=1;                //用于加减分模块;显示模块,四选一显示组数及分数
  22.                                                                 flag=1;
  23.                                                                 dtid=1;        //PIN35二极管”发光“显示已抢答
  24.                                                         end
  25.                                                 else if(!b)                //同上
  26.                                                         begin
  27.                                                                 q=2;
  28.                                                                 flag=1;
  29.                                                                 dtid=1;
  30.                                                         end
  31.                                                 else if(!c)                //同上
  32.                                                         begin
  33.                                                                 q=3;
  34.                                                                 flag=1;
  35.                                                                 dtid=1;
  36.                                                         end
  37.                                                 else if(!d)                //同上
  38.                                                         begin
  39.                                                                 q=4;
  40.                                                                 flag=1;
  41.                                                                 dtid=1;
  42.                                                         end
  43.                                                 else if(!e)                //同上
  44.                                                         begin
  45.                                                                 q=5;
  46.                                                                 flag=1;
  47.                                                                 dtid=1;
  48.                                                         end
  49.                                         end
  50.                         end
  51.         end
  52. endmodule
复制代码

所有资料51hei提供下载:
基于FPGA的四人抢答器.rar (450.63 KB, 下载次数: 81)


51hei.png (13.68 KB, 下载次数: 181)

51hei.png

评分

参与人数 2黑币 +80 收起 理由
2430078927 + 30 很给力!
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏3 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:492703 发表于 2020-12-3 20:05 | 只看该作者
谢谢楼主
回复

使用道具 举报

板凳
ID:1051744 发表于 2022-11-13 17:56 来自手机 | 只看该作者
求大家分享一个绑引脚的图
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表