找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2959|回复: 0
打印 上一主题 下一主题
收起左侧

基于FPGA的红外遥控和LCD显示框架 附源程序

[复制链接]
跳转到指定楼层
楼主
一个基于FPGA的红外遥控和LCD显示框架,支持红外遥控输入并在LCD上显示对应的内容,可通过修改代码实现红外遥控计算器等高级应用。
已在台湾友晶科技的DE2-115开发板上测试可用,该开发板的核心FPGA芯片型号为Intel/Altera的Cyclone IV4CE115F29,开发平台为Quartus II/Quartus Prime。若要在其他开发板上使用,可通过修改相应配置管脚来实现。
其顶层文件的代码如下:
  1. module irda_top(
  2.                 input clk,
  3.                 input rst,
  4.                 input key_clear,
  5.                 input iIRDA,
  6.                 output rw,
  7.                 output rs,
  8.                 output en,
  9.                 output [7:0] data_out,
  10.                 output lcd_on,
  11.                 output lcd_bg
  12. );

  13. wire [9:0] data_in;
  14. lcd_1602 lcd_1602_m0(
  15.                 .clk(clk),
  16.                 .rst(rst),
  17.                 .data_in(data_in),
  18.                 .ir_arri(irinf_trig),
  19.                 .key_clear(key_clear),
  20.                 .rw(rw),
  21.                 .rs(rs),
  22.                 .en(en),
  23.                 .next_data(next_data),
  24.                 .data_out(data_out),
  25.                 .lcd_on(lcd_on),
  26.                 .lcd_bg(lcd_bg)
  27. );

  28. wire[31:0] ir_data;
  29. wire ir_arri;
  30. wire [7:0] inf_data;
  31. wire answer_flag;
  32. wire irinf_trig;
  33. wire [127:0] answer;
  34. IR_RECEIVE ir_m0(
  35.                 .iCLK(clk),         //clk 50MHz
  36.                 .iRST_n(rst),       //reset                                       
  37.                 .iIRDA(iIRDA),        //IR code input
  38.                 .oDATA_READY(ir_arri),  //data ready
  39.                 .oDATA(ir_data)         //decode data output
  40.                 );
  41.                
  42. lcd_inf lcd_inf_m0(
  43.                 .clk(clk),
  44.                 .rst(rst),
  45.                 .ir_arri(irinf_trig),
  46.                 .key_clear(key_clear),
  47.                 .trig(next_data),
  48.                 .answer_flag(answer_flag),
  49.                 .inf_data(inf_data),
  50.                 .answer(answer),
  51.                 .data(data_in)
  52. );

  53. data_trans data_trans_m0(
  54.                 .clk(clk),
  55.                 .rst(rst),
  56.                 .trig(ir_arri),
  57.                 .raw_data(ir_data),
  58.                 .final_data(inf_data),
  59.                 .answer_out(answer_flag),
  60.                 .ir_infready(irinf_trig),
  61.                 .answer(answer)
  62. );

  63. endmodule
复制代码
综合后的RTL图如下:


全部资料51hei下载地址:
irda_lcd_version_2.7z (13.58 MB, 下载次数: 20)





评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表