找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4828|回复: 0
打印 上一主题 下一主题
收起左侧

quartus ii从编辑到仿真流程

[复制链接]
跳转到指定楼层
楼主
ID:50962 发表于 2013-6-18 12:00 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
                                                                                                第一步:打开quartus建立工程,点击file/new project wizard文件夹下创建你自己所需要的工程文件,在工程文件中填入你的工程文件名,必须与所编辑文件的实体名保持一致;
第二步:新建编辑文档(VHDLFILE/VERILOGFILE /BLOCK DIAGRAM SCHIMATIC FILE)他们分别代表VHDL语言编辑文档、verylog语言编辑文档,原理图编辑文档;
第三步:文档编辑,根据自己的需要编辑自己所需功能的文档,在此过程中还可以点击tool/options在category栏中选择text edotor设置文本颜色与字体;
第四步:文档编辑完成之后进行保存,注意文件的扩展名必须与自己所编辑的文本相一致;
第五步:项目编译:1.编译器选项设置选择assignment/setting在左边的category通过device来设定器件再单击device&pin options从中选择configuration选择器件的配置方式;
2.设置目标器件闲置引脚属性:在device& pin options窗口单击unused   pin设置设计中未用到的引脚为输入三太,输出接地或输出不定太,如果所有保留引脚均与外部期间没有连接则可以设置成一般输出,否则设置成三台输入或输出;
3.选择配置文件格式(.rbf二进制配置文件.ttf   AS CLL码格式文件.jam以Jam器件编程语言描述的ASCLL马文件.jac与.jam内容一致的二进制文件,.hexout是一种ASCLL码格式文件;)
4.编译过程设置在setting对话框左边category中选择compilation process在此页面选择use smart compilation和preserv额fewer node name to save disk space可以使编译运行更快,还可以节省磁盘空间;
5.启动编译器选择菜单 processing/start compilation,错误定位可以双击错误,查看编译报告。
6.查看结果
第六步:仿真验证:1.通过file/new/vector waveform file创建仿真波形文件;
2.添加输入输出信号节点,选择菜单命令view/utility windows/node finder在filter列表中选择pin:all加入所需仿真的节点后关闭node finder窗口;
3.辑输入信号节点波形
4.保存波形文件;
5 .设计仿真   一、打 开仿真器页面选择菜单processing/simulation tool.........simulation mode选择functional然后点击generate functonal simulation netlist再添加波形文件最后点击start启动仿真点击report查看分析仿真结果。
                                                                                            


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表