找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2023|回复: 0
打印 上一主题 下一主题
收起左侧

Quartus用rom实现译码电路

[复制链接]
跳转到指定楼层
楼主
ID:517607 发表于 2020-7-14 13:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
新建原理图文件 双击鼠标左键,选择器件,megafunctions 下 storage\lpm_rom




注意此 处“q” output port 要 取消,不 能选中


注意此处只能是 mif 文件,不是 hex 文件 Next –finish,将元件放置电路区

新建 mif 文件,选项在在 Memory  files 下


ok
number of words 256,word size 4 ok 后

将鼠标指向 Addr 后+0 或者+1,+2,点击鼠标右键 将 address Radix 设置为 16
进制(hexadecimal)

Memory  Radix 设置为 16 进制  

根据译码表写入数据

将原理图完整,即添加输入输出缓冲端口
注意地址端和数据端都是总线格式,pinname 定义如图

保存,编译仿真
全编译,然后建立波形文件进行仿真

以上资料51hei下载地址:
用rom实现译码电路步骤.pdf (644.51 KB, 下载次数: 3)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表