找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1494|回复: 0
打印 上一主题 下一主题
收起左侧

用EDA中VHDL语言编写多路选择器源程序

[复制链接]
跳转到指定楼层
楼主
ENTITY mux21a IS
    PORT(a,b,s:IN BIT;
             y:OUT BIT );
END ENTITY mux21a;
ARCHITECTURE one OF mux21a IS
BEGIN
  PROCESS(a,b,s)
  BEGIN
  IF s='0' THEN y<=a;ELSE y<=b;
  END IF;
  END PROCESS;
  END ARCHITECTURE one;
                  

51hei.png (8 KB, 下载次数: 65)

51hei.png

mus21a.zip

110.19 KB, 下载次数: 3, 下载积分: 黑币 -5

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表