找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1992|回复: 1
收起左侧

VHDL实现3-8译码器的简单实例

[复制链接]
ID:850870 发表于 2020-11-28 20:22 | 显示全部楼层 |阅读模式
简单的3-8译码器VHDL文件实现。附带程序。
捕获.PNG
51hei.png

decoder3_8.zip

2.92 MB, 下载次数: 10, 下载积分: 黑币 -5

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:855520 发表于 2020-12-6 18:17 | 显示全部楼层
感谢,刚好需要做这个实验
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表