找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2181|回复: 0
打印 上一主题 下一主题
收起左侧

Verilog HDL中数据类型及其常量、变量

[复制链接]
跳转到指定楼层
楼主
ID:579775 发表于 2020-12-6 17:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
Verilog HDL中总共有十九种数据类型,数据类型是用来表示数字电路硬件中的数据储存和传送元素
的。在本教材中我们先只介绍四个最基本的数据类型,它们是:
reg型、wire型、integer型、parameter型
其它数据类型在后面的章节里逐步介绍,师兄们也可以查阅附录中Verilog HDL语法参考书的有关章
节逐步掌握。其它的类型如下:
large型、medium型、scalared型、time型、small型、tri型、trio型、tri1型、triand型、trior
型、trireg型、vectored型、wand型、wor型。这些数据类型除time型外都与基本逻辑单元建库有关,
与系统设计没有很大的关系。在一般电路设计自动化的环境下,仿真用的基本部件库是由半导体厂家
和EDA工具厂家共同提供的。系统设计工程师不必过多地关心门级和开关级的Verilog HDL语法现象。
Verilog HDL语言中也有常量和变量之分。它们分别属于以上这些类型。下面就最常用的几种进行介
绍。


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表