找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 3854|回复: 0
打印 上一主题 下一主题
收起左侧

Verilog数据拼接与拆分代码

[复制链接]
跳转到指定楼层
楼主
ID:704585 发表于 2021-1-8 20:42 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1. Verilog中数据拼接,使用拼接运算符
例如:

reg [15:0]  regA;
reg[7:0] regB = 8'd12;
reg[7:0] regC = 8'd34;

regA <= {regB ,regC };          //把regB和regC拼接成regA。

2.Verilog中数据拆分
例如:

wire[15:0]    A; wire[7:0]  B; wire[7:0]  C;
assign   B = A [15:8];
assign   C = A [7:0];                 //就是把高8位和低8位拆分输出

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表