找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2161|回复: 0
打印 上一主题 下一主题
收起左侧

FPGA按键控制流水灯

[复制链接]
跳转到指定楼层
楼主
ID:832896 发表于 2021-3-26 22:15 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 2020/12/05 12:01:14
  7. // Design Name:
  8. // Module Name: led_flowing
  9. // Project Name:
  10. // Target Devices:
  11. // Tool Versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////

  21.     //由按键控制的流水灯左移右移,暂停,复位功能。

  22. module led_flowing(clk,led,rst_n,key_1,key_2,key_3
  23. );
  24.     input clk;
  25.     input rst_n;//复位按键
  26.     input key_1;///控制起停 低电平停止移动,高电平继续移动
  27.     input key_2;///控制左移 流水灯左移    按下按键为0,控制左移,再按一次停止
  28.     input key_3;//控制右移  流水灯右移    按下按键为0,控制右移,再按一次停止
  29. output reg[3:0] led;//led power on _0  led power off _1    led灯低电平亮,led灯高电平熄灭
  30. reg [0:0]led_dir; // led left _1                                  led right _0     该位左移为1,右移为0;
  31. reg [0:0]led_on; //led on_1                          off_0



  32. reg[31:0] timer_cout;  //计数寄存器
  33.     always@(posedge clk or negedge rst_n)                 //achieve timer++  
  34.    
  35.     begin
  36.     if(rst_n!=1)
  37.         begin
  38.         timer_cout<=32'd0;
  39.         
  40.         end
  41.    else if(timer_cout==32'd49_999_999) //计数50_000_000次为1秒,时钟为50Mhz
  42.        begin
  43.        timer_cout<=32'd0;
  44.        end
  45.     else
  46.         begin
  47.         timer_cout<=timer_cout+32'd1;
  48.         end   
  49.     end
  50.   
  51. //
  52. always@(posedge clk or negedge rst_n)
  53.     begin
  54.     if(rst_n!=1)
  55.         begin
  56.         led<=4'b1110;
  57.         end
  58.     else if((timer_cout==32'd49_999_999)&&(led_on==1))          //led_on==1开启移位功能
  59.         begin
  60.         if(led_dir==1)  // 右移
  61.             begin
  62.             led<={led[0],led[3:1]};  //0,3,2,1,0
  63.             end                                                                //1,0,3,2
  64.         else                                                                        //2,1,0,3
  65.             begin
  66.             led<={led[2:0],led[3]};//2,1,0,3//左移
  67.             end                                                                //1,0,3,2,
  68.         end                                                                        //0,3,2,1,
  69.     end                                                                                //3,2,1,0
  70. //3位按键程序
  71. reg [20:1] cnt;

  72. always@(posedge clk or negedge rst_n)
  73.     begin
  74.     if(rst_n!=1)
  75.         begin
  76.         cnt<=20'd0;
  77.         end
  78.     else
  79.         begin
  80.         cnt<=cnt+20'd1;
  81.         end
  82.     end

  83. reg[2:0]low_sw;
  84. always@(posedge clk or negedge rst_n)
  85. begin
  86.     if (!rst_n)
  87.         begin
  88.          low_sw <= 3'b111;
  89.          end
  90.     else if (cnt== 20'hfffff) //满20ms,将按键值锁存到寄存器low_sw中cnt == 20'hfffff
  91.         begin
  92.                 low_sw <= {key_3,key_2,key_1};
  93.         end
  94. end


  95. reg[2:0] low_sw_r;
  96. always@(posedge clk or negedge rst_n)// 每个时钟周期的上升沿将low_sw锁存到low_sw_r中
  97. begin
  98.      if (!rst_n)
  99.      low_sw_r <= 3'b111;
  100.     else
  101. low_sw_r <= low_sw;


  102.   end
  103.   wire [2:0]led_ctrl = low_sw_r[2:0]&(~low_sw[2:0]);//////////////关键之处。
  104. //判断键值与功能
  105. always @(posedge clk  or negedge rst_n)
  106. if (!rst_n)
  107.      begin
  108.          led_on = 1'b0;
  109.          led_dir = 1'b0;
  110.      end
  111. else
  112.      begin
  113.          if(led_ctrl[0]) led_on <= ~led_on;
  114.          if(led_ctrl[1]) led_dir <= 1'b1;
  115.          if(led_ctrl[2]) led_dir <= 1'b0;
  116.      end

  117. endmodule

  118. ////////////////////////////////////////////////////////////////////////////////







复制代码


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表