找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2198|回复: 1
打印 上一主题 下一主题
收起左侧

FPGA实现UART收发数据 verilog程序

[复制链接]
跳转到指定楼层
楼主
ID:909289 发表于 2021-4-20 20:37 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
uart用verilog实现串口调试助手通信
  1. `timescale 1ns / 1ps
  2. ////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date:    17:11:32 08/28/08
  7. // Design Name:   
  8. // Module Name:    my_uart_rx
  9. // Project Name:   
  10. // Target Device:  
  11. // Tool versions:  
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. ////////////////////////////////////////////////////////////////////////////////
  21. module uart_rx(
  22.                                 clk,rst_n,
  23.                                 rs232_rx,rx_data,rx_int,
  24.                                 rx_finish,        //cong add
  25.                                 clk_bps,bps_start
  26.                         );

  27. input clk;                // 50MHz主时钟
  28. input rst_n;        //低电平复位信号
  29. input rs232_rx;        // RS232接收数据信号
  30. input clk_bps;        // clk_bps的高电平为接收或者发送数据位的中间采样点
  31. output bps_start;                //接收到数据后,波特率时钟启动信号置位
  32. output[7:0] rx_data;        //接收数据寄存器,保存直至下一个数据来到
  33. output rx_int;        //接收数据中断信号,接收到数据期间始终为高电平
  34. output rx_finish;        //cong add

  35. //----------------------------------------------------------------
  36. reg rs232_rx0,rs232_rx1,rs232_rx2,rs232_rx3;        //接收数据寄存器,滤波用
  37. wire neg_rs232_rx;        //表示数据线接收到下降沿

  38. always @ (posedge clk or negedge rst_n) begin
  39.         if(!rst_n) begin
  40.                         rs232_rx0 <= 1'b0;
  41.                         rs232_rx1 <= 1'b0;
  42.                         rs232_rx2 <= 1'b0;
  43.                         rs232_rx3 <= 1'b0;
  44.                 end
  45.         else begin
  46.                         rs232_rx0 <= rs232_rx;
  47.                         rs232_rx1 <= rs232_rx0;
  48.                         rs232_rx2 <= rs232_rx1;
  49.                         rs232_rx3 <= rs232_rx2;
  50.                 end
  51. end
  52.         //下面的下降沿检测可以滤掉<20ns-40ns的毛刺(包括高脉冲和低脉冲毛刺),
  53.         //这里就是用资源换稳定(前提是我们对时间要求不是那么苛刻,因为输入信号打了好几拍)
  54.         //(当然我们的有效低脉冲信号肯定是远远大于40ns的)
  55. assign neg_rs232_rx = rs232_rx3 & rs232_rx2 & ~rs232_rx1 & ~rs232_rx0;        //接收到下降沿后neg_rs232_rx置高一个时钟周期

  56. //----------------------------------------------------------------
  57. reg bps_start_r;
  58. reg[3:0] num;        //移位次数
  59. reg rx_int;                //接收数据中断信号,接收到数据期间始终为高电平

  60. always @ (posedge clk or negedge rst_n)
  61.         if(!rst_n) begin
  62.                         //cong//bps_start_r <= 1'bz;
  63.                         bps_start_r <= 1'b0;
  64.                         rx_int <= 1'b0;
  65.                 end
  66.         else if(neg_rs232_rx) begin                //接收到串口接收线rs232_rx的下降沿标志信号
  67.                         bps_start_r <= 1'b1;        //启动串口准备数据接收
  68.                         rx_int <= 1'b1;                        //接收数据中断信号使能
  69.                 end
  70.         //cong//else if(num==4'd12) begin                //接收完有用数据信息
  71.         else if(num==4'd10) begin                //接收完有用数据信息
  72.                         bps_start_r <= 1'b0;        //数据接收完毕,释放波特率启动信号
  73.                         rx_int <= 1'b0;                        //接收数据中断信号关闭
  74.                 end

  75. assign bps_start = bps_start_r;

  76. //----------------------------------------------------------------
  77. reg[7:0] rx_data_r;                //串口接收数据寄存器,保存直至下一个数据来到
  78. //----------------------------------------------------------------

  79. reg[7:0] rx_temp_data;        //当前接收数据寄存器

  80. always @ (posedge clk or negedge rst_n)
  81.         if(!rst_n) begin
  82.                         rx_temp_data <= 8'd0;
  83.                         num <= 4'd0;
  84.                         rx_data_r <= 8'd0;
  85.                 end
  86.         else if(rx_int) begin        //接收数据处理
  87.                 if(clk_bps) begin        //读取并保存数据,接收数据为一个起始位,8bit数据,1或2个结束位               
  88.                                 num <= num+1'b1;
  89.                                 case (num)
  90.                                                 4'd1: rx_temp_data[0] <= rs232_rx;        //锁存第0bit
  91.                                                 4'd2: rx_temp_data[1] <= rs232_rx;        //锁存第1bit
  92.                                                 4'd3: rx_temp_data[2] <= rs232_rx;        //锁存第2bit
  93.                                                 4'd4: rx_temp_data[3] <= rs232_rx;        //锁存第3bit
  94.                                                 4'd5: rx_temp_data[4] <= rs232_rx;        //锁存第4bit
  95.                                                 4'd6: rx_temp_data[5] <= rs232_rx;        //锁存第5bit
  96.                                                 4'd7: rx_temp_data[6] <= rs232_rx;        //锁存第6bit
  97.                                                 4'd8: rx_temp_data[7] <= rs232_rx;        //锁存第7bit
  98.                                                 default: ;
  99.                                         endcase
  100.                         end
  101.                 //cong//else if(num == 4'd12) begin                //我们的标准接收模式下只有1+8+1(2)=11bit的有效数据
  102.                 else if(num == 4'd10) begin                //我们的标准接收模式下只有1+8+1(2)=11bit的有效数据
  103.                                 num <= 4'd0;                        //接收到STOP位后结束,num清零
  104.                                 rx_data_r <= rx_temp_data;        //把数据锁存到数据寄存器rx_data中
  105.                         end
  106.                 end

  107. assign rx_data = rx_data_r;
  108. //assign rx_finish = num==4'd10;        //cong add
  109. reg rx_int_1t;
  110. always @ (posedge clk or negedge rst_n)begin
  111.         if(!rst_n) begin
  112.                 rx_int_1t <= 1'b0;
  113.         end
  114.         else begin
  115.                 rx_int_1t <= rx_int;
  116.         end
  117. end
  118. assign rx_finish = ~rx_int & rx_int_1t;

  119. endmodule
复制代码


分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏1 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:857680 发表于 2021-5-25 16:59 | 只看该作者
一次接收一个字符么?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表