找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1802|回复: 1
打印 上一主题 下一主题
收起左侧

FPGA模拟交通灯效果 Verilog源代码

[复制链接]
跳转到指定楼层
楼主
模拟交通灯效果,设LED1为红灯,持续10SLED2为蓝灯,保持3SLED3为绿灯,持续15秒,前12S一直亮,后3S闪烁。(使用状态机)仿真激励文件的Verilog源代码:

交通灯的Verilog源代码:

Modelsim仿真图:

评分

参与人数 1黑币 +20 收起 理由
admin + 20 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:1043132 发表于 2023-10-9 20:45 | 只看该作者
可以参考教材 verillog数字系统设计与FPGA应用  第2版 mooc版里面有复杂交通等设计代码
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表