找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 1654|回复: 0
打印 上一主题 下一主题
收起左侧

51单片机自动喂食器代码与Proteus仿真图

[复制链接]
跳转到指定楼层
楼主
仿真原理图如下(proteus仿真工程文件可到本帖附件中下载)


单片机源程序如下:
  1. #include <reg52.h>

  2. #define uchar unsigned char
  3. #define uint unsigned int

  4. uchar ms,miao,fen,shi,shi_n=0,fen_n=1,miao_n;
  5. uchar mos;
  6. uchar mm,mm_d;
  7. uchar shu,g;
  8. bit aa,zi_d,shijian;
  9. sbit rs = P2^0;
  10. sbit rw = P2^1;
  11. sbit e  = P2^2;
  12. sbit led=P3^7;
  13. sbit k1=P2^3;
  14. sbit k2=P2^4;
  15. sbit k3=P2^5;
  16. sbit k4=P2^6;
  17. sbit k5=P2^7;
  18. sbit T_CLK = P3^6; /*实时时钟时钟线引脚 */
  19. sbit T_IO = P3^7; /*实时时钟数据线引脚 */
  20. sbit T_RST = P3^5; /*实时时钟复位线引脚 */
  21. sbit ACC0=ACC^0;
  22. sbit ACC1=ACC^1;
  23. sbit ACC2=ACC^2;
  24. sbit ACC3=ACC^3;
  25. sbit ACC4=ACC^4;
  26. sbit ACC5=ACC^5;
  27. sbit ACC6=ACC^6;
  28. sbit ACC7=ACC^7;

  29. uchar code FFW[]=
  30. {
  31. 0x01,0x03,0x02,0x06,0x04,0x0c,0x08,0x09
  32. };

  33. uchar code REV[]=
  34. {
  35.          0x09,0x08,0x0c,0x04,0x06,0x02,0x03,0x01
  36. };

  37. void delay(uchar m)
  38.   {      
  39.          uchar i;
  40.          while(m--)
  41.          {
  42.                   for(i = 0 ; i<250;i++) ;
  43.          }
  44.   }

  45. void xiezhilin(uchar zhilin)
  46.   {      
  47.       
  48.          rs = 0 ;
  49.           rw = 0 ;
  50.           e = 0 ;
  51.           P0 = zhilin ;
  52.     delay(1);
  53.           e = 1 ;
  54.         delay(1);
  55.           e = 0 ;
  56.   }
  57. void xiesu(uchar suzi)
  58. {      
  59.       
  60.          rs = 1 ;
  61.           rw = 0 ;
  62.           e = 0 ;
  63.           P0 = suzi ;
  64.         delay(1);
  65.           e = 1 ;
  66.         delay(1);
  67.           e = 0 ;
  68. }

  69. void xianshi(uchar dizhi, uchar suzi)
  70.   {
  71.    xiezhilin(dizhi);
  72.    xiesu(suzi);
  73.   }
  74. void xian()
  75.        {
  76.                                 if(zi_d==0)
  77.                                 {
  78.          xianshi(0x80+0x40+1,'Z');
  79.         }
  80.         else
  81.         {
  82.          xianshi(0x80+0x40+1,'S');
  83.         }


  84.              if((mos==1)&&(aa==1))
  85.                          {
  86.                            xianshi(0x80+4,' ');
  87.                            xianshi(0x80+5,' ');
  88.                          }
  89.                         else
  90.                          {
  91.                               xianshi(0x80+4,0x30+shi_n%100/10);
  92.                            xianshi(0x80+5,0x30+shi_n%10);
  93.                         }
  94.                     xianshi(0x80+6,':');
  95.                     if((mos==2)&&(aa==1))
  96.                          {
  97.                           xianshi(0x80+7,' ');
  98.                           xianshi(0x80+8,' ');
  99.                          }
  100.                         else
  101.                          {
  102.                              xianshi(0x80+7,0x30+fen_n%100/10);
  103.                           xianshi(0x80+8,0x30+fen_n%10);
  104.                         }
  105.            
  106.                     xianshi(0x80+9,':');
  107.                          if((mos==3)&&(aa==1))
  108.                          {
  109.                           xianshi(0x80+10,' ');
  110.                           xianshi(0x80+11,' ');
  111.                          }
  112.                         else
  113.                          {
  114.                              xianshi(0x80+10,0x30+miao_n%100/10);
  115.                           xianshi(0x80+11,0x30+miao_n%10);
  116.                         }

  117.                          if((mos==4)&&(aa==1))
  118.                          {
  119.                           xianshi(0x80+0x40+4,' ');
  120.                           xianshi(0x80+0x40+5,' ');
  121.                          }
  122.                         else
  123.                          {
  124.                             xianshi(0x80+0x40+4,0x30+shi%100/10);
  125.                           xianshi(0x80+0x40+5,0x30+shi%10);
  126.                         }
  127.                
  128.                     xianshi(0x80+0x40+6,':');
  129.                            if((mos==5)&&(aa==1))
  130.                          {
  131.                                   xianshi(0x80+0x40+7,' ');
  132.                             xianshi(0x80+0x40+8,' ');
  133.                          }
  134.                         else
  135.                          {
  136.                              xianshi(0x80+0x40+7,0x30+fen%100/10);
  137.                             xianshi(0x80+0x40+8,0x30+fen%10);
  138.                         }
  139.                
  140.                     xianshi(0x80+0x40+9,':');
  141.                         xianshi(0x80+0x40+10,0x30+miao%100/10);
  142.                         xianshi(0x80+0x40+11,0x30+miao%10);
  143.            }
  144. /*------------------------------------------------
  145.                     发送一个字节
  146. ------------------------------------------------*/
  147. void SendByte(unsigned char dat)
  148. {
  149. SBUF = dat;
  150. while(!TI);
  151.       TI = 0;
  152. }
  153. void z_zhuan()
  154.       {

  155.                                 xianshi(0x80+0x40+15,'Z');
  156.                                 SendByte('Z');
  157.         
  158.                                 for(mm_d=0;mm_d<24;mm_d++)
  159.                                 {
  160.         P1=FFW[mm];
  161.                                 delay(20);
  162.                                 mm++;
  163.                                 if(mm>7) {mm=0;}
  164.                                 }
  165.                    P1=0x09;
  166.                         xianshi(0x80+0x40+15,' ');
  167.       }                 
  168. void f_zhuan()
  169.       {
  170.                         
  171.                                 xianshi(0x80+0x40+15,'F');
  172.                                 SendByte('F');
  173.                
  174.                                 for(mm_d=0;mm_d<24;mm_d++)
  175.                                 {
  176.         P1=REV[mm];
  177.                                 delay(20);
  178.                                 mm++;
  179.                                 if(mm>7) {mm=0;}
  180.                                 }
  181.               P1=0x09;
  182.                           xianshi(0x80+0x40+15,' ');
  183.       }        



  184. void v_RTInputByte(uchar ucDa)
  185.    {
  186.       uchar i;
  187.       ACC = ucDa;
  188.       for(i=8; i>0; i--)
  189.        {
  190.           T_IO = ACC0;
  191.           T_CLK = 1;
  192.           T_CLK = 0;
  193.           ACC = ACC >> 1;
  194.        }
  195.    }
  196. uchar uc_RTOutputByte(void)
  197.    {
  198.      uchar i;
  199.        for(i=8; i>0; i--)
  200.          {
  201.            ACC = ACC >>1;
  202.            ACC7 = T_IO;
  203.            T_CLK = 1;
  204.            T_CLK = 0;
  205.          }
  206.        return(ACC);
  207.    }
  208. void v_W1302(uchar ucAddr, uchar ucDa)
  209.    {
  210.      T_RST = 0;
  211.      T_CLK = 0;
  212.      T_RST = 1;
  213.      v_RTInputByte(ucAddr); /* 地址,命令 */
  214.      v_RTInputByte(ucDa); /* 写1Byte数据*/
  215.      T_CLK = 1;
  216.      T_RST =0;
  217.    }
  218. uchar uc_R1302(uchar ucAddr)
  219.    {
  220.      uchar ucDa;
  221.      T_RST = 0;
  222.      T_CLK = 0;
  223.      T_RST = 1;
  224.      v_RTInputByte(ucAddr); /* 地址,命令 */
  225.      ucDa = uc_RTOutputByte(); /* 读1Byte数据 */
  226.      T_CLK = 1;
  227.      T_RST =0;
  228.      return(ucDa);
  229.    }                        
  230. void dushi(void)
  231.       {
  232.            g=uc_R1302(0x81);
  233.            miao=g&0x70;
  234.            miao=miao>>4;
  235.            miao=(miao*10)+(g&0x0f);
  236.      
  237.            g=uc_R1302(0x83);
  238.            fen=g&0x70;
  239.            fen=fen>>4;
  240.            fen=(fen*10)+(g&0x0f);
  241.    
  242.            g=uc_R1302(0x85);
  243.            shi=g&0x70;
  244.            shi=shi>>4;
  245.            shi=(shi*10)+(g&0x0f);
  246.          }         

  247. void xieshi(void)
  248.       {
  249.           v_W1302(0x8E,0x00);//打开寄存器
  250.                             g=miao%100/10;
  251.           g=g<<4;
  252.           shu=g|(miao%10);
  253.           v_W1302(0x80,shu);
  254.                     
  255.           g=fen%100/10;
  256.                                         g=g<<4;
  257.                                         shu=g|(fen%10);
  258.                                         v_W1302(0x82,shu);
  259.                                        
  260.                                         g=shi%100/10;
  261.                                         g=g<<4;
  262.                                         shu=g|(shi%10);
  263.                                         v_W1302(0x84,shu);
  264.                                         v_W1302(0x8E,0x80);  //guan

  265.       }         

  266. void key()
  267.      {
  268.      if(k1==0)
  269.             {
  270.                   delay(5);
  271.                   if(k1==0)
  272.                    {
  273.         zi_d=0;
  274.                                 ES = 1;
  275.                          while(!k1);
  276.                          }
  277.                  }
  278.                  if(k2==0)
  279.             {
  280.                   delay(5);
  281.                   if(k2==0)
  282.                    {
  283.         zi_d=1;
  284.                          while(!k2);
  285.                          }
  286.                  }
  287.                  if(zi_d==0)
  288.                  {
  289.            if(k3==0)
  290.             {
  291.                   delay(5);
  292.                   if(k3==0)
  293.                    {
  294.                          mos++;if(mos==6) mos=0;
  295.                          while(!k3);
  296.                         }
  297.                  }
  298.           if(mos!=0)
  299.             {
  300.                   if(k4==0)
  301.                     {
  302.                          delay(5);
  303.                          if(k4==0)
  304.                            {
  305.                             if(mos==1){shi_n++;if(shi_n==24)shi_n=0;}
  306.                                  if(mos==2){fen_n++;if(fen_n==60)fen_n=0;}
  307.                                  if(mos==3){miao_n++;if(miao_n==60)miao_n=0;}
  308.                                  if(mos==4){shi++;if(shi==24)shi=0;}
  309.                                  if(mos==5){fen++;if(fen==60)fen=0;}
  310.                                  xieshi();
  311.                                  while(!k4);
  312.                            }
  313.                         }
  314.                  if(k5==0)
  315.                     {
  316.                          delay(5);
  317.                          if(k5==0)
  318.                            {
  319.                             if(mos==1){if(shi_n==0)shi_n=24; shi_n--;}
  320.                                  if(mos==2){if(fen_n==0)fen_n=60; fen_n--;}
  321.                                  if(mos==3){if(miao_n==0)miao_n=60; miao_n--;}
  322.                                  if(mos==4){if(shi==0)shi=24; shi--;}
  323.                                  if(mos==5){if(fen==0)fen=60; fen--;}
  324.                                  xieshi();
  325.                                  while(!k5);
  326.                            }
  327.                         }
  328.                 }
  329.           }
  330.                 else
  331.                  {
  332.         if(k4==0)
  333.                     {
  334.                          delay(5);
  335.                          if(k4==0)
  336.                            {
  337.                                  z_zhuan();                                 
  338.                                  while(!k4);
  339.                            }
  340.                           }
  341.                          if(k5==0)
  342.                     {
  343.                          delay(5);
  344.                          if(k5==0)
  345.                            {
  346.                                  f_zhuan();        
  347.                                  while(!k5);
  348.                            }
  349.                         }        
  350.      }
  351.          }
  352.                         
  353. void main()
  354.       {
  355.                   xiezhilin(0x01);    //显示清屏
  356.             xiezhilin(0x38);   //显示模式设置
  357.       xiezhilin(0x0c);     //光标设置
  358.       TH1 = 0xFD;  //晶振11.0592mhz 波特率设为9600  
  359.       TL1 = 0xFD;  
  360.       TMOD = 0x21;    //定时器1方式2  
  361.       SCON = 0x50;     //串口接收使能  
  362.       ES = 0;          //串口中断使能    //使用外中断函数必须ES为0
  363.       TR1 = 1;         //定时器1使能  
  364.       TI = 0;          //发送中断标记位,必须设置  
  365.             EA = 1;
  366.                  xianshi(0x80+0,'m');
  367.                  xianshi(0x80+1,'o');
  368.                  xianshi(0x80+2,'s');
  369.                  xianshi(0x80+14,'D');
  370.                  xianshi(0x80+15,'Y');
  371.            dushi();
  372.                  shijian=1;               
  373.           while(1)
  374.                   {
  375.                          ms++;
  376.              if(ms>=5){aa=~aa;ms=0;dushi();}        
  377.                          if(shu=='Z')z_zhuan();                          
  378.        if(shu=='F')f_zhuan();
  379.                          shu=0;               
  380.                    key();
  381.                    xian();                        
  382.                         if((shi==shi_n)&&(fen==fen_n)&&(miao==miao_n))
  383.                           {
  384.          shijian=1;
  385.         }                                 
  386.       if(shijian==1)
  387.                          {
  388.          z_zhuan();
  389.                                  dushi();
  390.                                  xian();
  391.                                  delay(100);
  392.                                  dushi();
  393.                                  xian();
  394.                                  delay(100);
  395.                                  dushi();
  396.                                  xian();
  397.                                  delay(100);
  398.                                  dushi();
  399.                                  xian();
  400.                                  delay(100);
  401.                                  dushi();
  402.                                   xian();
  403.                                  delay(100);
  404.                                  dushi();
  405.                                  xian();
  406.                                  delay(100);
  407.                                  dushi();
  408.                                  xian();
  409.                                  delay(100);
  410.                                  dushi();
  411.                                  xian();
  412.                                  delay(100);
  413.                                  dushi();
  414.                                  xian();
  415.                                  f_zhuan();
  416.                shijian=0;
  417.        }
  418.             }
  419. }


  420. void getch(void) interrupt 4
  421.     {        
  422.                          if(RI==1)
  423.                          {
  424.        RI=0;
  425.        shu=SBUF;
  426.                          led=~led;
  427.                          }
  428.     }
复制代码

Keil5代码与Proteus8.8仿真下载:
http://www.51hei.com/bbs/dpj-220178-1.html
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏3 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表