找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 251|回复: 1
打印 上一主题 下一主题
收起左侧

基于FPGA的奇数分频占空比50% veilog程序

[复制链接]
跳转到指定楼层
楼主
一、veilog程序:
module g_b
(
input clk ,
input rst_n,
output out_clk,
output reg out_clk1,
output reg out_clk2,
output reg [3 :0] cnt_1,
output reg [3 :0] cnt_2
);

parameter N = 3 ;


always @(posedge clk or negedge rst_n) begin
if(!rst_n)
        begin
                out_clk1 <= 0;
                cnt_1 <= 1;
        end
else
        begin
                if(out_clk1 == 0)
                        begin
                                if(cnt_1 == N/2+1)
                                        begin
                                                out_clk1 <= ~out_clk1;
                                                cnt_1 <= 1;
                                        end
                                else
                                cnt_1 <= cnt_1+1;

                        end
           else if(cnt_1 == N/2)
                        begin
                                out_clk1 <= ~out_clk1;
                                cnt_1 <= 1;
                end
                else
                        cnt_1 <= cnt_1+1;
        end
end

always @(negedge clk or negedge rst_n)
begin
        if(!rst_n)
                begin
                        out_clk2 <= 0;
                        cnt_2 <= 1;
                end
        else
                begin
                        if(out_clk2 == 0)
                                begin
                                        if(cnt_2 == N/2+1)
                                                begin
                                                        out_clk2 <= ~out_clk2;
                                                        cnt_2 <= 1;
                                                end
                                        else
                                                cnt_2 <= cnt_2+1;
                                end
                        else if(cnt_2 == N/2)
                                begin
                                        out_clk2 <= ~out_clk2;
                                        cnt_2 <= 1;
                                end
                        else
                                cnt_2 <= cnt_2+1;
                end
end

assign out_clk = out_clk1 | out_clk2;

endmodule



二、module仿真
`timescale 1ns / 1ps

module g_b_tb();

reg sys_clk ;
reg sys_rst_n;
wire out_clk ;
wire [3 :0] cnt_1;
wire [3 :0] cnt_2;
initial begin
sys_clk = 1'b0;
sys_rst_n = 1'b0;

#200
sys_rst_n = 1'b1;

end


always #10 sys_clk = ~sys_clk;

g_b u1(
.clk (sys_clk ),
.rst_n (sys_rst_n ),
.out_clk (out_clk ),
.out_clk1(out_clk1),
.out_clk2(out_clk2),
.cnt_1(cnt_1),
.cnt_2(cnt_2)
);

endmodule

三、仿真时序


评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:262 发表于 2024-1-23 01:04 | 只看该作者
好资料,51黑有你更精彩!!!
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表