找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 6908|回复: 7
打印 上一主题 下一主题
收起左侧

新型摇摇棒制作 带走你的白富美

  [复制链接]
跳转到指定楼层
楼主
# 创新设计16个LED灯的摇摇棒,可显示英文、图形及汉字
# 仅需5种元器件(单片机、LED、导线、电池和塑料壳),让DIY简单、快速
# 往返双程扫描,慢速摇动也可清晰显示
# 敲击字幕切换功能,无需按键操作
# 在软件上有很大的升级空间(包括双色显示、LED测光等)
-----------------------------------------------------------------------                 

[注意事项]
-----------------------------------------------------------------------
# HEX文件烧写时需选择“内部RC时钟”
# 本制作采用STC12C5A60S2单片机,不可以用其他型号单片机替代
# 惯性开关在制作时注意静止时开关柄到左右触点间的距离应尽量相等
# 本制作无开关,不用时需取下电池或在电池间塞入绝缘纸片






单片机采用STC12C5A60S2(1pcs)

- 0805型贴片式LED灯(16pcs)

- CR2032钮扣电池(2pcs)

- 具有一定弹性的导线和废弃的元器件引脚

- 用来包装DIP40脚单片机的塑料壳(1pcs)
- 确保单片机可以放入并有较大余地

- 用钳子将P0、P2接口所在一侧的引脚全部向外弯折

- 目的是保证将单片机放入塑料壳时不会左右乱窜

- 弯折时尽量不断放入塑料壳中比对

- 擦拭弯折后的引脚表面 确保无污物可以顺利上锡

- 用摄子取出贴片LED灯并焊接在单片机引脚上

- 从P2.0引脚开始焊接 左边焊LED负极(P2.0负极、P2.1正极)

- 依次焊接16个LED灯到P0.2引脚为止

- 将一支废弃元器件引脚对折并焊接在弹性导线上

- 弹性导线的另一端焊接在单片机的第20脚上(GND) 注意掌握导线长度
- 将P1.3引脚弯折至芯片底部 再用废弃元器件引脚向上延长P1.2引脚
- 使之形成惯性开关的两个触点 再把P1.4引脚略向内弯曲形成侧撞触点

- 把一支废弃的元器件引脚焊接在单片机的第40脚上(VCC)

- 再取一段导线将一端焊接在单片机的第20脚上(GND)

- 导线另一端的接头处挂大量的锡

- 用胶带固定导线 使之在摇动时不易乱窜

- 此时在单片机一侧形成了电源的正、负极接口

将钮扣电池重叠后放入正、负极接口处

- 最后将单片机连同电池一并塞进塑料壳中

- 用透明胶带把开口处包好 - 因摇动时的离心力向上所以单片机及电池不会向下滑落 无需设计下方固定




  1. /*********************************************************************************************
  2. 程序名:    SHOOK16摇摇棒控制程序
  3. 编写人:    杜洋 
  4. 硬件支持:  STC12C5A60S2 内部RC时钟
  5. 接口说明:  单片机P0、P2侧并行排列16个贴片LED(自P2.0开始(-))  
  6. 修改日志:
  7. [TEST测试版]  
  8.   1-200911122244 在主函数写入简单程序测试惯性开关是否正常,通过测试并备份。(备)
  9.         2-200911130112 往返摇动双重显示实验成功,目前已经达到摇摇棒功能的基本要求。(备)
  10. [V1正式版]
  11.         3-200911130309 加入了3个字幕内容,通过敲击切换字幕。(备)
  12.         4-200911131910 为网站照片重新设计方案并重定义了IO接口。(备)                                                               
  13. /*********************************************************************************************
  14. 说明:

  15. 了解字幕的修改方法,请参考《mini1608字模设计方法》

  16. V1正式版

  17. # 硬件制作只需要单片机、LED、电池和导线,制作非常简单。
  18. # 采用STC增强型单片机。



  19. /*********************************************************************************************/


  20. #include <STC12C5A60S2.H> //单片机头文件

  21. unsigned char MENU;
  22. #define DY_DELAY                5 //设置每一个点显示的时间长度(1~20)

  23. sbit KEY1 = P1 ^ 2; //摇动惯性开关
  24. sbit KEY2 = P1 ^ 3;
  25. sbit KEY3 = P1 ^ 4; //选择键(大力横向碰击触发)

  26. sbit LED1 = P0 ^ 3; //LED灯定义,低电平时亮(自上到下)
  27. sbit LED2 = P0 ^ 4;
  28. sbit LED3 = P0 ^ 5;
  29. sbit LED4 = P0 ^ 6;
  30. sbit LED5 = P0 ^ 7;
  31. sbit LED6 = P4 ^ 6;
  32. sbit LED7 = P4 ^ 5;
  33. sbit LED8 = P4 ^ 4;
  34. sbit LED9 = P2 ^ 7;
  35. sbit LED10 = P2 ^ 6;
  36. sbit LED11 = P2 ^ 5;
  37. sbit LED12 = P2 ^ 4;
  38. sbit LED13 = P2 ^ 3;
  39. sbit LED14 = P2 ^ 2;
  40. sbit LED15 = P2 ^ 1;
  41. sbit LED16 = P2 ^ 0;

  42. /*********************************************************************************************/
  43. unsigned char code logo[] =  {            // (取码:从上到下从左到右,纵向8位下高位)
  44. 0x00,0x00,0x10,0x0E,0xD0,0x01,0xFF,0xFF,//杜
  45.       0xFF,0xFF,0x90,0x01,0x10,0x43,0x40,0x40,
  46.       0x40,0x40,0x40,0x40,0xFF,0x7F,0xFE,0x7F,
  47.       0x40,0x40,0x60,0x40,0x40,0x60,0x00,0x40,

  48.         0x00,0x00,0x10,0x04,0xE1,0x78,0x4E,0xFE,//洋
  49.       0x8C,0x63,0x60,0x00,0x00,0x04,0x49,0x04,
  50.       0x4A,0x04,0x4E,0x04,0xF8,0xFF,0xFC,0xFF,
  51.       0x4E,0x04,0x6B,0x04,0x4A,0x06,0x00,0x04,

  52.         0x00,0x00,0x00,0x40,0x04,0x40,0x04,0x40,//工
  53.       0x04,0x40,0x04,0x40,0x04,0x40,0xFC,0x7F,
  54.       0xFC,0x7F,0x04,0x40,0x04,0x40,0x04,0x40,
  55.       0x06,0x40,0x04,0x60,0x00,0x40,0x00,0x00,

  56.         0x00,0x00,0x80,0x00,0x60,0x00,0xF8,0xFF,//作
  57.       0xEF,0x7F,0x06,0x00,0x40,0x00,0x30,0x00,
  58.       0x1C,0x00,0xFF,0xFF,0xFA,0xFF,0x48,0x04,
  59.       0x48,0x04,0x68,0x04,0x4C,0x06,0x08,0x04,

  60.         0x00,0x00,0x08,0x00,0x1C,0x80,0x0E,0x81,//室
  61.       0x94,0x8B,0xD4,0x89,0x75,0x89,0x37,0xFF,
  62.       0x16,0xFF,0x34,0x89,0xD4,0x89,0x94,0x8B,
  63.       0x1C,0x81,0x0E,0xC0,0x04,0x80,0x00,0x00
  64. };
  65. /*********************************************************************************************/
  66. unsigned char code logo1[] =  {            // “DoYoung” (取码:从上到下从左到右,纵向8位下高位)
  67.         0x04,0x08,0xFC,0x0F,0xFC,0x0F,0x04,0x08,  // -D-
  68.         0x0C,0x0C,0xF8,0x07,0xF0,0x03,0x00,0x00,

  69.         0xC0,0x07,0xE0,0x0F,0x20,0x08,0x20,0x08,  // -o-
  70.         0x20,0x08,0xE0,0x0F,0xC0,0x07,0x00,0x00,

  71.         0x00,0x00,0x3C,0x00,0x7C,0x08,0xC0,0x0F,  // -Y-
  72.         0xC0,0x0F,0x7C,0x08,0x3C,0x00,0x00,0x00,

  73.         0xC0,0x07,0xE0,0x0F,0x20,0x08,0x20,0x08,  // -o-
  74.         0x20,0x08,0xE0,0x0F,0xC0,0x07,0x00,0x00,

  75.         0xE0,0x07,0xE0,0x0F,0x00,0x08,0x00,0x08,  // -u-
  76.         0xE0,0x07,0xE0,0x0F,0x00,0x08,0x00,0x00,

  77.         0x20,0x00,0xE0,0x0F,0xC0,0x0F,0x20,0x00,  // -n-
  78.         0x20,0x00,0xE0,0x0F,0xC0,0x0F,0x00,0x00,

  79.         0xC0,0x27,0xE0,0x6F,0x20,0x48,0x20,0x48,  // -g-
  80.         0xC0,0x7F,0xE0,0x3F,0x20,0x00,0x00,0x00,

  81.         0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, //空格

  82.         0x18,0x06,0x3C,0x0E,0x64,0x08,0x44,0x08,  // -S-
  83.         0xC4,0x08,0x9C,0x0F,0x18,0x07,0x00,0x00,

  84.         0x20,0x00,0x20,0x00,0xF8,0x07,0xFC,0x0F,  // -t-
  85.         0x20,0x08,0x20,0x0C,0x00,0x04,0x00,0x00,

  86.         0xE0,0x07,0xE0,0x0F,0x00,0x08,0x00,0x08,  // -u-
  87.         0xE0,0x07,0xE0,0x0F,0x00,0x08,0x00,0x00,

  88.         0x80,0x07,0xC0,0x0F,0x60,0x08,0x24,0x08,  // -d-
  89.         0xFC,0x07,0xFC,0x0F,0x00,0x08,0x00,0x00,

  90.         0x00,0x00,0x00,0x00,0x20,0x08,0xEC,0x0F,  // -i-
  91.         0xEC,0x0F,0x00,0x08,0x00,0x00,0x00,0x00,

  92.         0xC0,0x07,0xE0,0x0F,0x20,0x08,0x20,0x08,  // -o-
  93.         0x20,0x08,0xE0,0x0F,0xC0,0x07,0x00,0x00,
  94. };
  95. /*********************************************************************************************/
  96. unsigned char code logo2[] =  {            // “与电子爱好者同行” (取码:从上到下从左到右,纵向8位下高位)
  97. 0x00,0x00,0x00,0x08,0x00,0x08,0x80,0x08,
  98.       0xFF,0x09,0xFE,0x08,0x88,0x08,0x88,0x08,
  99.       0x88,0x08,0x88,0x08,0x88,0x0C,0x88,0x48,
  100.       0x88,0xC0,0x8C,0x7F,0xC8,0x3F,0x80,0x00,

  101.         0x00,0x00,0x00,0x00,0xF8,0x0F,0xF0,0x07,
  102.       0x90,0x04,0x90,0x04,0x90,0x04,0xFF,0x7F,
  103.       0xFE,0xFF,0x90,0xC4,0x90,0xC4,0x90,0xC4,
  104.       0xF0,0xCF,0xF8,0xC7,0x10,0xF0,0x00,0x40,

  105.         0x00,0x00,0x80,0x00,0x80,0x00,0x80,0x00,
  106.       0x82,0x00,0x82,0x00,0x82,0x40,0x82,0x40,
  107.       0xE2,0xFF,0xF2,0x7F,0x9A,0x00,0x8E,0x00,
  108.       0x87,0x00,0x82,0x00,0xC0,0x00,0x80,0x00,

  109.         0x00,0x00,0x40,0x00,0xE0,0x40,0x74,0x20,
  110.       0xA4,0x98,0xAC,0x8E,0xFA,0x47,0xE2,0x6F,
  111.       0xBE,0x3A,0xAA,0x12,0xB2,0x3A,0xBF,0x6E,
  112.       0xAB,0x67,0xE2,0xC2,0x70,0xC0,0x20,0x40,

  113.         0x00,0x00,0x20,0x80,0x20,0x63,0xF8,0x37,
  114.       0xFF,0x1C,0x26,0x0F,0xF0,0x7B,0xE0,0x30,
  115.       0x82,0x00,0x82,0x20,0x82,0x40,0xF2,0xFF,
  116.       0xEA,0x7F,0x8E,0x00,0xC7,0x00,0x82,0x00,

  117.         0x00,0x00,0x40,0x04,0x40,0x04,0x44,0x02,
  118.       0x44,0xFF,0x44,0x7F,0xC4,0x49,0xFF,0x49,
  119.       0x7F,0x49,0x64,0x49,0x66,0x49,0x54,0xFF,
  120.       0xD8,0x7F,0x4E,0x01,0x64,0x00,0x40,0x00,

  121.         0x00,0x00,0x00,0x00,0xFF,0xFF,0xFE,0x7F,
  122.       0x12,0x00,0xD2,0x1F,0x92,0x0F,0x92,0x08,
  123.       0x92,0x08,0x92,0x1F,0xDA,0x4F,0x92,0x40,
  124.       0xFE,0xFF,0xFF,0x7F,0x02,0x00,0x00,0x00,

  125.         0x00,0x00,0x20,0x04,0x10,0x02,0x8C,0xFF,
  126.       0xE7,0x7F,0x42,0x00,0x00,0x00,0x20,0x00,
  127.       0x22,0x00,0x22,0x40,0x22,0x40,0xE2,0xFF,
  128.       0xE2,0x7F,0x23,0x00,0x32,0x00,0x20,0x00
  129. };
  130. /*********************************************************************************************
  131. 函数名:LED显示用延时函数
  132. 调  用:delay(?);
  133. 参  数:1~65535(参数不可为0)
  134. 返回值:无
  135. 结  果:占用CPU方式延时与参数数值相同的毫秒时间
  136. 备  注:应用于1T单片机时i<600,应用于12T单片机时i<125
  137. /*********************************************************************************************/
  138. void delay (unsigned int a){ // 用于点扫描的延时
  139.         unsigned int i;
  140.         while( --a != 0){
  141.                 for(i = 0; i < 1; i++);
  142.         }                                      
  143. }
  144. /*********************************************************************************************/

  145. /*********************************************************************************************
  146. 函数名:毫秒级CPU延时函数
  147. 调  用:DELAY_MS (?);
  148. 参  数:1~65535(参数不可为0)
  149. 返回值:无
  150. 结  果:占用CPU方式延时与参数数值相同的毫秒时间
  151. 备  注:应用于1T单片机时i<600,应用于12T单片机时i<125
  152. /*********************************************************************************************/
  153. void DELAY_MS (unsigned int a){
  154.         unsigned int i;
  155.         while( --a != 0){
  156.                 for(i = 0; i < 600; i++);
  157.         }
  158. }
  159. /*********************************************************************************************/

  160. /*********************************************************************************************
  161. 函数名:关闭所有LED灯(操作硬件)
  162. 调  用:DISPLAY_OFF();
  163. 参  数:无
  164. 返回值:无
  165. 结  果:所有LED灯熄灭
  166. 备  注:
  167. /**********************************************************************************************/
  168. void DISPLAY_OFF (void){
  169.         delay(DY_DELAY);//显示停留
  170.         P0 = 0xff;
  171.         P2 = 0xff;
  172.         P4 = 0xff;
  173. }
  174. /**********************************************************************************************/

  175. /*********************************************************************************************
  176. 函数名:单帧数据送入显示(操作硬件)
  177. 调  用:DISPLAY_PIN(?,?);
  178. 参  数:16位显示数据(下高位)(d:上面8位,e:下面8位)
  179. 返回值:无
  180. 结  果:点亮对应数据的LED灯
  181. 备  注:
  182. /**********************************************************************************************/
  183. void DISPLAY_PIN (unsigned char d,unsigned char e){ //第1列横向显示程序
  184.         unsigned char i;
  185.         i = d & 0x01;
  186.         if(i == 0x01){LED1 = 0;}DISPLAY_OFF();
  187.         i = d & 0x02;
  188.         if(i == 0x02){LED2 = 0;}DISPLAY_OFF();
  189.         i = d & 0x04;
  190.         if(i == 0x04){LED3 = 0;}DISPLAY_OFF();
  191.         i = d & 0x08;
  192.         if(i == 0x08){LED4 = 0;}DISPLAY_OFF();
  193.         i = d & 0x10;
  194.         if(i == 0x10){LED5 = 0;}DISPLAY_OFF();
  195.         i = d & 0x20;
  196.         if(i == 0x20){LED6 = 0;}DISPLAY_OFF();
  197.         i = d & 0x40;
  198.         if(i == 0x40){LED7 = 0;}DISPLAY_OFF();
  199.         i = d & 0x80;
  200.         if(i == 0x80){LED8 = 0;}DISPLAY_OFF();

  201.         i = e & 0x01;
  202.         if(i == 0x01){LED9 = 0;}DISPLAY_OFF();
  203.         i = e & 0x02;
  204.         if(i == 0x02){LED10 = 0;}DISPLAY_OFF();
  205.         i = e & 0x04;
  206.         if(i == 0x04){LED11 = 0;}DISPLAY_OFF();
  207.         i = e & 0x08;
  208.         if(i == 0x08){LED12 = 0;}DISPLAY_OFF();
  209.         i = e & 0x10;
  210.         if(i == 0x10){LED13 = 0;}DISPLAY_OFF();
  211.         i = e & 0x20;
  212.         if(i == 0x20){LED14 = 0;}DISPLAY_OFF();
  213.         i = e & 0x40;
  214.         if(i == 0x40){LED15 = 0;}DISPLAY_OFF();
  215.         i = e & 0x80;
  216.         if(i == 0x80){LED16 = 0;}DISPLAY_OFF();
  217. }
  218. /*********************************************************************************************
  219. 函数名:初始化程序
  220. 调  用:init();
  221. 参  数:无
  222. 返回值:无
  223. 结  果:设计IO接口为强推方式并全部置1
  224. 备  注:
  225. /**********************************************************************************************/
  226. void init (void){
  227.         P4SW = 0xff; //启动P4接口
  228.         P0M0 = 0xff; //接口设置为强推
  229.         P2M0 = 0xff;
  230.         P4M0 = 0xff;
  231.         P1 = 0xff; //初始IO接口状态
  232.         P0 = 0xff;
  233.         P2 = 0xff;
  234.         P4 = 0xff;
  235. }
  236. /**********************************************************************************************/

  237. /*********************************************************************************************
  238. 函数名:主函数
  239. 调  用:无
  240. 参  数:无
  241. 返回值:无
  242. 结  果:程序开始处,无限循环
  243. 备  注:
  244. /**********************************************************************************************/
  245. void main (void){
  246.         unsigned char a,c;
  247.         init();//初始程序
  248.         while(1){
  249.                 if(MENU > 2){
  250.                         MENU = 0;
  251.                 }
  252.                 if(MENU == 0){ //字幕1
  253.                          if(KEY2 == 0){
  254.                                 DELAY_MS(20);
  255.                                 while(KEY2 == 0);
  256.                                 c = 0;
  257.                                 for(a=1;a<80;a++){ //这里的“80”是这个字幕需要扫描的列数,修改字幕时必须修改此参数。
  258.                                         DISPLAY_PIN (logo[c],logo[c+1]); //“LOGO”是第一个字幕的数据表名
  259.                                         c=c+2;//产生显示行移位
  260.                                 }
  261.                         }
  262.                         if(KEY1 == 0){
  263.                                 DELAY_MS(20);
  264.                                 while(KEY1 == 0);
  265.                                 c = 158;
  266.                                 for(a=1;a<80;a++){
  267.                                         DISPLAY_PIN (logo[c],logo[c+1]);
  268.                                         c=c-2;//产生显示行移位
  269.                                 }
  270.                         }
  271.                         if(KEY3 == 0){
  272.                                 DELAY_MS(20);
  273.                                 while(KEY3 == 0);
  274.                                 MENU++;
  275.                         }
  276.                 }
  277.                 if(MENU == 1){ //字幕2
  278.                          if(KEY2 == 0){
  279.                                 DELAY_MS(20);
  280.                                 while(KEY2 == 0);
  281.                                 c = 0;
  282.                                 for(a=1;a<108;a++){
  283.                                         DISPLAY_PIN (logo1[c],logo1[c+1]);
  284.                                         c=c+2;//产生显示行移位
  285.                                 }
  286.                         }
  287.                         if(KEY1 == 0){
  288.                                 DELAY_MS(20);
  289.                                 while(KEY1 == 0);
  290.                                 c = 214;
  291.                                 for(a=1;a<108;a++){
  292.                                         DISPLAY_PIN (logo1[c],logo1[c+1]);
  293.                                         c=c-2;//产生显示行移位
  294.                                 }
  295.                         }
  296.                         if(KEY3 == 0){
  297.                                 DELAY_MS(20);
  298.                                 while(KEY3 == 0);
  299.                                 MENU++;
  300.                         }
  301.                 }
  302.                 if(MENU == 2){ //字幕3
  303.                          if(KEY2 == 0){
  304.                                 DELAY_MS(20);
  305.                                 while(KEY2 == 0);
  306.                                 c = 0;
  307.                                 for(a=1;a<128;a++){
  308.                                         DISPLAY_PIN (logo2[c],logo2[c+1]);
  309.                                         c=c+2;//产生显示行移位
  310.                                 }
  311.                         }
  312.                         if(KEY1 == 0){
  313.                                 DELAY_MS(20);
  314.                                 while(KEY1 == 0);
  315.                                 c = 254;
  316.                                 for(a=1;a<128;a++){
  317.                                         DISPLAY_PIN (logo2[c],logo2[c+1]);
  318.                                         c=c-2;//产生显示行移位
  319.                                 }
  320.                         }
  321.                         if(KEY3 == 0){
  322.                                 DELAY_MS(20);
  323.                                 while(KEY3 == 0);
  324.                                 MENU++;
  325.                         }
  326.                 }
  327.         }
  328. }
  329. /**********************************************************************************************

  330. /**********************************************************************************************/

  331. /*************************************************************
  332. * 杜洋工作室 DoYoung Studio
  333. /*************************************************************/
复制代码



SHOOK16_SC_V1.zip

24.28 KB, 下载次数: 38, 下载积分: 黑币 -5

SHOOK16_V1_HEX.rar

1.34 KB, 下载次数: 22, 下载积分: 黑币 -5

评分

参与人数 1黑币 +8 收起 理由
stream800 + 8 绝世好帖!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏11 分享淘帖 顶1 踩
回复

使用道具 举报

沙发
ID:136460 发表于 2016-9-12 21:01 | 只看该作者
51有你更精彩
回复

使用道具 举报

板凳
ID:305328 发表于 2018-8-31 12:48 | 只看该作者
谢谢分享
回复

使用道具 举报

地板
ID:288930 发表于 2019-3-2 10:19 | 只看该作者
谢谢分享!
回复

使用道具 举报

5#
ID:318672 发表于 2019-3-2 12:45 来自手机 | 只看该作者
厉害,谢谢分享
回复

使用道具 举报

6#
ID:495990 发表于 2019-3-30 15:52 | 只看该作者
这个应该是杜洋工作室杜洋老师原创的,所有的图片和程序一点不差的,我也DIY了一个,不错!
回复

使用道具 举报

7#
ID:976767 发表于 2021-12-18 21:11 | 只看该作者
SJ-123 发表于 2019-3-30 15:52
这个应该是杜洋工作室杜洋老师原创的,所有的图片和程序一点不差的,我也DIY了一个,不错!

请问怎么敲击P14换字幕啊没懂
回复

使用道具 举报

8#
ID:393178 发表于 2021-12-27 19:54 | 只看该作者
good,外观方面可以根据自己需要变得更完美
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表