找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4793|回复: 1
打印 上一主题 下一主题
收起左侧

基于Quartus II 9.0 ,用VHDL语言写的元件例化四位全加器

[复制链接]
跳转到指定楼层
楼主
library ieee;
use ieee.std_logic_1164.all;
entity adder4 is
port(A,B:in std_logic_vector(3 downto 0);
S:out std_logic_vector(3 downto 0);
Co:out std_logic;
Ci:in std_logic);
end adder4;
architecture adder_4 of adder4 is
component adder
port(
A:in std_logic;
B:in std_logic;
Ci:in std_logic;
Co:out std_logic;
S:out std_logic);
end component;
signal c:std_logic_vector(4 downto 0);
begin
C(0)<=Ci;
u1:adder port map(A(0),B(0),C(0),c(1),S(0));
u2:adder port map(A(1),B(1),c(1),c(2),S(1));
u3:adder port map(A(2),B(2),c(2),c(3),S(2));
u4:adder port map(A(3),B(3),c(3),c(4),S(3));
Co<=c(4);
end adder_4;
注:本程序是有一位全加器生成的四位全加器,注意一定要把一位全加器的顶成层文件放到四位全加器的根目录下,否则一定会报错,一位,四位全加器的程序在附件中。

元件例化四位全加器.png (21.83 KB, 下载次数: 154)

图片附上

图片附上

全加器.zip

343.83 KB, 下载次数: 24, 下载积分: 黑币 -5

工程文件附上

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

沙发
ID:235109 发表于 2017-9-23 15:41 | 只看该作者
一起加油,谢谢啊
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表