找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 845|回复: 0
收起左侧

用quartuas的ip实现浮点数转为定点数

[复制链接]
ID:706315 发表于 2023-2-21 16:46 | 显示全部楼层 |阅读模式
300黑币
请问quartus中的altfp_convert在实现32位浮点数转换为定点数时,图中的这个该怎么配置
我设置成8和24或者9和23,在modelsim仿真的输出波形设置为有符号定点数格式结果不

51hei图片_20230221164232.png
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表