专注电子技术学习与研究
当前位置:单片机教程网 >> MCU设计实例 >> 浏览文章

VHDL语言之运算方法的74LS290计数器

作者:佚名   来源:本站原创   点击数:  更新时间:2013年11月09日   【字体:

运算方法编写的74ls290计数器:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity CT290 is
   port(R1,R0,S1,S0,clk:in std_logic;
                 Q:out std_logic_vector(3 downto 0);
                 Co:out std_logic);
end CT290;
architecture cccp of CT290 is
   signal RR,SS:std_logic_vector(1 downto 0);
   signal QI: std_logic_vector(3 downto 0);
   signal C:std_logic;
   begin
     RR<=R1 & R0;SS<=S1 & S0;   
     process(R1,R0,S1,S0,clk,RR,SS)      
        begin
        if clk'event and clk='0' then
           if  QI<9 then  QI<= QI+1;
           else QI<="0000";
           end if;
        end if;
        if    RR="11"     then   QI<="0000";        
        end if;
        if    SS="11"    then    QI<="1001";
        end if;
        if   QI=9   then    C<='1';
        else    C<='0';
        end if;
        if   clk'event   and   clk='0'    then    Co<=C;      
        end if;
      Q<=QI;  
     end process;
end;

关闭窗口

相关文章