专注电子技术学习与研究
当前位置:单片机教程网 >> MCU设计实例 >> 浏览文章

用Verilog hdl来实现d触发器2分频程序源码

作者:huqin   来源:本站原创   点击数:  更新时间:2014年05月03日   【字体:

用Verilog来实现d触发器2分频的Verilog hdl程序:

module dff_2(clk,rst,clk_out);

input clk,rst;
output clk_out;

wire clk,rst;
reg clk_out;

always @(posedge clk or negedge rst)
 if(!rst)
  begin
   clk_out<=0;
  end
 else
  begin
   clk_out<=~clk_out;
  end

endmodule 
通过RTL viewer  得到的原理图;

 

 

仿真图如图示:

 



关闭窗口

相关文章