找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 5808|回复: 11
收起左侧

单片机DS18B20的多点温度测量监控系统设计(LCD1602+报警模块+液晶)

  [复制链接]
ID:614205 发表于 2019-9-20 20:30 | 显示全部楼层 |阅读模式
设计概述
基于DS18B20的多点温度测量监控系统设计,以AT89C52单片机作为主控制器,不断循环读取4组DS18B20(T1、T2、T3和T4)温度数据,同时使用LCD1602液晶循环显示4组测取的温度值以及每组设定的温度上下限值,通过按键可设置每组温度报警上下限和液晶显示的模式(循环显示模式和手动切换显示模式)。当前组的温度值若不在设定范围内,则蜂鸣器报警,对应的LED灯点亮;具体过程为:温度高于上限值,红色LED灯点亮;温度低于下限值,蓝色LED灯亮。
系统框架.jpg

多点测温
由于每个DS18B20内部均有一个独立的64位序列号,单片机通过序列号可以对一条总线上的多支DS18B20进行控制,读取他们的温度。单总线本身也可以向所挂接的多个DS18B20供电,而无需额外电源。4组温度传感器的连接网络如下。
传感器网络.jpg

系统仿真图
51.jpg
操作说明(bdgz).jpg

PCB原理图
pcb图.jpg

仿真结果分析
把在KEIL里编译、调试生成的Multi_temp的hex文件导入到Proteus中的AT89C52里进行仿真,整体结果如下:
(1)开机LCD显示界面
开机.png
(2)系统正常状态下,循环显示4组DS18B20的温度值以及各组设定的温度上下限值
t1.png
t2.png
t3.png
t4.png

(3)由上图可以看出T1的温度值,低于设定的温度下限值,蜂鸣器报警,且相应的LED指示灯点亮
报警.png

主程序设计
  1. void main()
  2. {
  3.         uint i;
  4.         Kaiji_LCD_Mode();
  5.         init();
  6.         for(i=0;i<5;i++)
  7.         {
  8.                 tmpchange0();
  9.                 TT0=tmp0();
  10.                 tmpchange1();
  11.                 TT1=tmp1();
  12.                 tmpchange2();
  13.                 TT2=tmp2();
  14.                 tmpchange3();
  15.                 TT3=tmp3();
  16.                 delay(500);
  17.         }
  18.         Init_LCD_Mode();
  19.         Mode=0;
  20.         //主循环
  21.         while(1)
  22.         {
  23.                         
  24.                  key();

  25.                 //节点温度显示
  26. //                IF(set==0)
  27. //                {
  28.                         if(Mode==0)
  29.                         {
  30.                                 tmpchange0();
  31.                                 TT0=tmp0();        
  32.                                 
  33.                                 Data_WD(TT0,ZF1,Mode,TEMH1,TEML1,ZFH1,ZFL1,set);  delay(25);
  34.                         }
  35.                         else if(Mode==1)
  36.                         {
  37.                                 tmpchange1();
  38.                                 TT1=tmp1();
  39.                         
  40.                                 Data_WD(TT1,ZF2,Mode,TEMH2,TEML2,ZFH2,ZFL2,set);  delay(25);
  41.                         }
  42.                         else if(Mode==2)
  43.                         {
  44.                                 tmpchange2();
  45.                                 TT2=tmp2();
  46.                         
  47.                                 Data_WD(TT2,ZF3,Mode,TEMH3,TEML3,ZFH3,ZFL3,set);   delay(25);
  48.                         }
  49.                         else if(Mode==3)
  50.                         {
  51.                                 tmpchange3();
  52.                                 TT3=tmp3();
  53.                                 
  54.                                 Data_WD(TT3,ZF4,Mode,TEMH4,TEML4,ZFH4,ZFL4,set);  delay(25);
  55.                         }
  56.                 }        
  57. if(((TT0/100==0&&TT0/10%10==0&&TT0%10==1)!=1)&&((ZF1==0&&ZFH1==0&&ZFL1==0&&((TT0>=TEMH1*10)                     ))||(ZF1==0&&ZFH1==0&&ZFL1==1&&TT0>=TEMH1*10)                                   ||(ZF1==0&&ZFH1==1)||(ZF1==1&&ZFH1==1&&(TT0<=TEMH1*10))    ))
  58.                                 {
  59.                                         LED1=0;
  60.                                         flag_BJ1=1;        
  61.                                 }
  62.                                 else
  63.                                 {
  64.                                         LED1=1;
  65.                                         flag_BJ1=0;
  66.                                 //        BUZZER=1;
  67.                                 }                                                                                 if(((TT0/100==0&&TT0/10%10==0&&TT0%10==1)!=1)&&((ZF1==0&&ZFH1==0&&ZFL1==0&&(             (TT0<=TEML1*10)))                                         ||(ZF1==1&&ZFH1==0&&ZFL1==1&&TT0>=TEML1*10)              ||(ZF1==1&&ZFH1==1&&(TT0>=TEML1*10))    ||  (ZF1==1&&ZFL1==0)))
  68.                                 {
  69.                                         LED5=0;
  70.                                         flag_BJ1=1;        
  71.                                 }
  72.                                 else
  73.                                 {
  74.                                         LED5=1;
  75.                                         flag_BJ1=0;
  76.                                 //        BUZZER=1;
  77.                                 }
  78.                                  if(((TT1/100==0&&TT1/10%10==0&&TT1%10==1)!=1)&&((ZF2==0&&ZFH2==0&&ZFL2==0&&((TT1>=TEMH2*10)                     ))||(ZF2==0&&ZFH2==0&&ZFL2==1&&TT1>=TEMH2*10)                                   ||(ZF2==0&&ZFH2==1)||(ZF2==1&&ZFH2==1&&(TT1<=TEMH2*10))    ))
  79.                                 {        
  80.                                         LED2=0;
  81.                                         flag_BJ2=1;        
  82.                                 }
  83.                                 else
  84.                                 {
  85.                                         LED2=1;
  86.                                         flag_BJ2=0;
  87.                                 //        BUZZER=1;
  88.                                 }
  89.                                                         if(((TT1/100==0&&TT1/10%10==0&&TT1%10==1)!=1)&&((ZF2==0&&ZFH2==0&&ZFL2==0&&(             (TT1<=TEML2*10)))                                         ||(ZF2==1&&ZFH2==0&&ZFL2==1&&TT1>=TEML2*10)              ||(ZF2==1&&ZFH2==1&&(TT1>=TEML2*10))    ||  (ZF2==1&&ZFL2==0)))
  90.                                 {        
  91.                                         LED6=0;
  92.                                         flag_BJ2=1;        
  93.                                 }
  94.                                 else
  95.                                 {
  96.                                         LED6=1;
  97.                                         flag_BJ2=0;
  98.                                 //        BUZZER=1;
  99.                                 }
  100.                                          if(((TT2/100==0&&TT2/10%10==0&&TT2%10==1)!=1)&&((ZF3==0&&ZFH3==0&&ZFL3==0&&((TT2>=TEMH3*10)                     ))||(ZF3==0&&ZFH3==0&&ZFL3==1&&TT2>=TEMH3*10)                                   ||(ZF3==0&&ZFH3==1)||(ZF3==1&&ZFH3==1&&(TT2<=TEMH3*10))    ))
  101.                                 {
  102.                                         LED3=0;
  103.                                         flag_BJ3=1;         
  104.                                 }
  105.                                 else
  106.                                 {
  107.                                         LED3=1;
  108.                                         flag_BJ3=0;
  109.                                 //        BUZZER=1;
  110.                                 }
  111.                                          if(((TT2/100==0&&TT2/10%10==0&&TT2%10==1)!=1)&&((ZF3==0&&ZFH3==0&&ZFL3==0&&(             (TT2<=TEML3*10)))                                         ||(ZF3==1&&ZFH3==0&&ZFL3==1&&TT2>=TEML3*10)              ||(ZF3==1&&ZFH3==1&&(TT2>=TEML3*10))    ||  (ZF3==1&&ZFL3==0)))                                
  112.                                 {
  113.                                         LED7=0;
  114.                                         flag_BJ3=1;         
  115.                                 }
  116.                                 else
  117.                                 {
  118.                                         LED7=1;
  119.                                         flag_BJ3=0;
  120.                                 //        BUZZER=1;
  121.                                 }
  122.                                  if(((TT3/100==0&&TT3/10%10==0&&TT3%10==1)!=1)&&((ZF4==0&&ZFH4==0&&ZFL4==0&&((TT3>=TEMH4*10)                     ))||(ZF4==0&&ZFH4==0&&ZFL4==1&&TT3>=TEMH4*10)                                   ||(ZF4==0&&ZFH4==1)||(ZF4==1&&ZFH4==1&&(TT3<=TEMH4*10))    ))
  123.                                 {
  124.                                 LED4=0;
  125.                                         flag_BJ4=1;
  126.                                 }
  127.                                 else
  128.                                 {
  129.                                         LED4=1;
  130.                                         flag_BJ4=0;
  131.                                 //        BUZZER=1;
  132.                                 }
  133.                                 if(((TT3/100==0&&TT3/10%10==0&&TT3%10==1)!=1)&&((ZF4==0&&ZFH4==0&&ZFL4==0&&(             (TT3<=TEML4*10)))                                         ||(ZF4==1&&ZFH4==0&&ZFL4==1&&TT3>=TEML4*10)              ||(ZF4==1&&ZFH4==1&&(TT3>=TEML4*10))    ||  (ZF4==1&&ZFL4==0)))
  134.                                 {
  135.                                 LED8=0;
  136.                                         flag_BJ4=1;
  137.                                 }
  138.                                 else
  139.                                 {
  140.                                         LED8=1;
  141.                                         flag_BJ4=0;
  142.                                 //        BUZZER=1;
  143.                                 }
  144.         }
  145. }

  146. void time0() interrupt 1
  147. {
  148.         uchar m;
  149.         TH0=0x4b;
  150.         TL0=0xff;
  151.         m++;
  152.         if(m%10==0&&(flag_BJ1==1||flag_BJ2==1||flag_BJ3==1||flag_BJ4==1))
  153.         {
  154.                 BUZZER=~BUZZER;
  155.         }
  156.         if(flag_BJ1==0&&flag_BJ2==0&&flag_BJ3==0&&flag_BJ4==0)
  157.         BUZZER=1;
  158.         if(m%10==0)
  159.         {shanshuo=!shanshuo;}
  160.         if(m==40)
  161.         {
  162.                 m=0;
  163.                 if(flag==0)
  164.                 Mode++;
  165.                 if(Mode>=4)
  166.                 Mode=0;
  167.         }
  168. }
复制代码

回复

使用道具 举报

ID:295874 发表于 2019-9-21 17:23 | 显示全部楼层
可以上传分享一下详细的仿真及原理图、程序源文件吗?
回复

使用道具 举报

ID:272158 发表于 2019-9-21 19:30 | 显示全部楼层
楼主,如果用12864多好,一次可以显示4组。
回复

使用道具 举报

ID:524158 发表于 2019-9-23 21:28 | 显示全部楼层
资源不行呀,广告
回复

使用道具 举报

ID:410928 发表于 2019-9-24 08:04 | 显示全部楼层
大神啊!用红外温度传感器好做吗?
回复

使用道具 举报

ID:429156 发表于 2019-9-26 14:33 | 显示全部楼层
不错,就是单片机好老了
回复

使用道具 举报

ID:712198 发表于 2020-3-23 22:56 | 显示全部楼层
可以上传程序和仿真吗?这个功能很好啊。
回复

使用道具 举报

ID:728343 发表于 2020-4-17 14:27 | 显示全部楼层
可以分享一下电路图和程序吗?谢谢
回复

使用道具 举报

ID:565667 发表于 2020-4-22 13:38 | 显示全部楼层
楼主,这个项目的资料还有吗?可以分享一下吗?
回复

使用道具 举报

ID:675617 发表于 2020-6-13 18:24 来自手机 | 显示全部楼层
楼主有没有流程图啊
回复

使用道具 举报

ID:657761 发表于 2020-9-27 14:03 来自手机 | 显示全部楼层
能不能分享一下,完整的仿真原理图,程序源文件
回复

使用道具 举报

ID:694210 发表于 2022-1-4 21:47 | 显示全部楼层
求求分享一下源文件
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表