专注电子技术学习与研究
当前位置:单片机教程网 >> MCU设计实例 >> 浏览文章

FPGA正弦函数发生器ROM查表宏模块建立

作者:佚名   来源:本站原创   点击数:  更新时间:2013年11月08日   【字体:


   一.波形软件,选择全局参数,128,256,512,1024,......数据类型选无符号十进制数,如下图,选择需要的波形,点击文件/保存选项进行保存,填入保存文件名,例如sinx.mif,注意,一定要在文件名后加上后缀.mif。如果没有波形取模软件,就要通过计算填写表格中的数据,计算的方法如果输出为8位二进制数,最大值为255,y=255sin(k*360/2的n次方),k=0,1,2,3,4,5,...,
2的n次方.n为表格地址二进制数位数,k为地址号码,可为十进制,可为16进制。
     

         
   二、建立VHDL项目     

 

      1.  首先在QuartusII下建立一个项目:
      点击 
File/New Project  Wizard(关于如何让建立项目,这里不再讲述)......

 

      2.  然后在项目界面开始建立数学用表存储器宏模块rom,文件格式XXX.hex,具体方法:

 

    1)如果是5.0版本,选择New,在弹出动的对话框中选择Other Files标签下的HexadecimalIntel-FormatFile,在弹出的窗口中根据精度在Number of words有边框中填入641282565121024,下面的Word size框中对应填写8
    如果是其他版本,选择
HexadecimalIntel-FormatFile文件。
     5.0版本
 
 
9.0及以上版本
 

 

 

点击OK后:8表示表格宽度为8,这样648行,12816行,25632行依次类推(6412825651210242n等数子把一个周期的360度等分为64个点,128个点,256个点,2n个点以此类推,其中n为查表地址号码的二进制数的位数。


2)然后算出每个点对用的正弦值填入表中。
 

 

        3)填入数据后保存为后缀为.hex的文件。表格建立完毕。
     打开波形保存的mif文件后,将其中的数据复制,粘贴到hex1.hex表格中,如下图。

 
 

关闭窗口

相关文章