专注电子技术学习与研究
当前位置:单片机教程网 >> MCU设计实例 >> 浏览文章

VHDL语言之调用JK触发器的74Ls290程序

作者:佚名   来源:本站原创   点击数:  更新时间:2013年11月09日   【字体:


调用JK触发器的74Ls290程序

 先编一个JK触发器带清0端,项目名称为dff_JK_111;

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY Dff_JK_111 IS
  PORT (J,K:IN STD_LOGIC;
        clk,Rd,Sd: IN std_logic;
         Q:out std_logic);
end Dff_JK_111;
ARCHITECTURE behave OF Dff_JK_111 IS
signal S:STD_LOGIC;
signal RS,JK:STD_LOGIC_vector(1 downto 0);
begin
 JK<=J&K;
 RS<=Rd&Sd;
 process(J,K,clk,Rd,Sd,JK,RS)
  BEGIN
  if RS="11" then    
    if clk'event and clk='0' Then
     case JK is
     when "00"=>S<=S;
     when "01"=>S<='0';
     when "10"=>S<='1';
     when "11"=>S<=not S;
     end case;
    end if;
  elsif RS="10" then  S<='1';
  elsif RS="01" then  S<='0';
  else Null;
  end if;
  end process;
    Q<= S  ;
   end  behave;
 

关闭窗口

相关文章