专注电子技术学习与研究
当前位置:单片机教程网 >> MCU设计实例 >> 浏览文章

半加器的VHDL程序

作者:佚名   来源:本站原创   点击数:  更新时间:2013年11月10日   【字体:

半加器1

LIBRARY     IEEE;
USE      IEEE.STD_LOGIC_1164.ALL;
ENTITY     halfadder      IS
  PORT (A,B:IN STD_LOGIC;
        Co: out STD_LOGIC;
        S:  out STD_LOGIC);
end      halfadder;
ARCHITECTURE    rtl    OF      halfadder     IS
      BEGIN
     S <=A XOR B;
     Co <=A AND B;
  END rtl;

关闭窗口

相关文章