专注电子技术学习与研究
当前位置:单片机教程网 >> MCU设计实例 >> 浏览文章

全加器的VHDL程序

作者:佚名   来源:本站原创   点击数:  更新时间:2013年11月10日   【字体:

全加器:

LIBRARY     IEEE;
USE    IEEE.STD_LOGIC_1164.ALL;
ENTITY    full_adder    IS
      PORT (Ain,Bin ,Cin :IN STD_LOGIC;
      Sum,Co: out STD_LOGIC  );
end full_adder;
ARCHITECTURE    rtl     OF     full_adder      IS
      BEGIN
     Sum<=Ain   Xor    Bin     xor   Cin ;
      Co<= (Ain   and     Bin) or ( Ain   Xor    Bin ) and   Cin  ;
  END rtl;

关闭窗口

相关文章