找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 3456|回复: 0
收起左侧

4位串行74hc595数码管显示简单的单片机串口通信

[复制链接]
ID:497360 发表于 2019-3-24 21:46 | 显示全部楼层 |阅读模式
123 132 213 231 312 321 这六个数字信息,
TTL通信输入51单片机后,
显示到4位串行595数码管的程序如何写?

并且串口通信和数码管显示的程序单独运行都没问题。
关键是怎样才能组合到一起用???
下面是数码管显示代码,要在程序里改动j=231;switch(j)才能显示。
#include <AT89X51.H>
unsigned char code fseg[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};
unsigned char code segbit[]={0x80,0x40,0x20,0x10,0x08,0x04,0x02,0x01};
unsigned char  disbuf[4]={0,0,0,0};
//-----------------------------------------------------------------------------
// 函数原形定义
#define uchar unsigned char


void main (void);                                        // 主函数
void LED4_Display (void);                        // LED显示
void LED_OUT(uchar X);                                // LED单字节串行移位函数


unsigned char code LED_0F[];                // LED字模表


sbit DIO = P1^0;                                //串行数据输入
sbit RCLK  = P1^1;                                //时钟脉冲信号——上升沿有效
sbit SCLK = P1^2;                                //打入信号————上升沿有效


//-----------------------------------------------------------------------------
// 全局变量
uchar LED[8];        //用于LED的8位显示缓存

//*****************************************************************************
// 主程序
//
void main (void)
{


        LED[0]=1;
        LED[1]=2;
        LED[2]=3;
        


        while(1)
        {
                LED4_Display ();
        }
}


void LED4_Display (void)
{
        unsigned char code *led_table;          // 查表指针
        uchar i;
        uchar j;
        j=231;                                // *****
        switch(j)
        {
          case 123:                //显示第1位
        led_table = LED_0F + LED[0];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x04);               


        RCLK = 0;
        RCLK = 1;
        //显示第2位
        led_table = LED_0F + LED[1];
        i = *led_table;


        LED_OUT(i);               
        LED_OUT(0x02);               


        RCLK = 0;
        RCLK = 1;
        //显示第3位
        led_table = LED_0F + LED[2];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x01);        


        RCLK = 0;
        RCLK = 1;break;
        case 132:         //显示第1位
        led_table = LED_0F + LED[0];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x02);               


        RCLK = 0;
        RCLK = 1;
        //显示第2位
        led_table = LED_0F + LED[1];
        i = *led_table;


        LED_OUT(i);               
        LED_OUT(0x04);               


        RCLK = 0;
        RCLK = 1;
        //显示第3位
        led_table = LED_0F + LED[2];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x01);               
        RCLK = 0;
        RCLK = 1;break;
        case 213:         //显示第1位
        led_table = LED_0F + LED[0];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x04);               


        RCLK = 0;
        RCLK = 1;
        //显示第2位
        led_table = LED_0F + LED[1];
        i = *led_table;


        LED_OUT(i);               
        LED_OUT(0x01);               


        RCLK = 0;
        RCLK = 1;
        //显示第3位
        led_table = LED_0F + LED[2];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x02);        


        RCLK = 0;
        RCLK = 1;break;
        case 231:         //显示第1位
        led_table = LED_0F + LED[0];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x01);               


        RCLK = 0;
        RCLK = 1;
        //显示第2位
        led_table = LED_0F + LED[1];
        i = *led_table;


        LED_OUT(i);               
        LED_OUT(0x04);               


        RCLK = 0;
        RCLK = 1;
        //显示第3位
        led_table = LED_0F + LED[2];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x02);        


        RCLK = 0;
        RCLK = 1;break;
        case 312:         //显示第1位
        led_table = LED_0F + LED[0];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x02);               


        RCLK = 0;
        RCLK = 1;
        //显示第2位
        led_table = LED_0F + LED[1];
        i = *led_table;


        LED_OUT(i);               
        LED_OUT(0x01);               


        RCLK = 0;
        RCLK = 1;
        //显示第3位
        led_table = LED_0F + LED[2];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x04);        


        RCLK = 0;
        RCLK = 1;break;
        case 321:         //显示第1位
        led_table = LED_0F + LED[0];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x01);               


        RCLK = 0;
        RCLK = 1;
        //显示第2位
        led_table = LED_0F + LED[1];
        i = *led_table;


        LED_OUT(i);               
        LED_OUT(0x02);               


        RCLK = 0;
        RCLK = 1;
        //显示第3位
        led_table = LED_0F + LED[2];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x04);        


        RCLK = 0;
        RCLK = 1;break;
        }
/*        //显示第1位
        led_table = LED_0F + LED[0];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x04);               


        RCLK = 0;
        RCLK = 1;
        //显示第2位
        led_table = LED_0F + LED[1];
        i = *led_table;


        LED_OUT(i);               
        LED_OUT(0x02);               


        RCLK = 0;
        RCLK = 1;
        //显示第3位
        led_table = LED_0F + LED[2];
        i = *led_table;


        LED_OUT(i);                        
        LED_OUT(0x01);        


        RCLK = 0;
        RCLK = 1;*/


}


void LED_OUT(uchar X)
{
        uchar i;
        for(i=8;i>=1;i--)
        {
                if (X&0x80) DIO=1; else DIO=0;
                X<<=1;
                SCLK = 0;
                SCLK = 1;
        }
}


unsigned char code LED_0F[] =
{// 0         1          2           3        4         5          6           7        8         9          A           b        C    d          E    F    -
        0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0x8C,0xBF,0xC6,0xA1,0x86,0xFF,0xbf
};


下面就是简单的串口通信程序了。


/**************************************************************************************
*                              串口通信实验                                                                                                  *
实现现象:下载程序后打开串口调试助手,将波特率设置为4800,选择发送的数据就可以显示
                        在串口助手上。
注意事项:无。                                                                                                                                                                  
***************************************************************************************/

#include "reg52.h"                         //此文件中定义了单片机的一些特殊功能寄存器

typedef unsigned int u16;          //对数据类型进行声明定义
typedef unsigned char u8;


/*******************************************************************************
* 函数名         :UsartInit()
* 函数功能                   :设置串口
* 输入           : 无
* 输出                  : 无
*******************************************************************************/
void UsartInit()
{
        SCON=0X50;                        //设置为工作方式1
        TMOD=0X20;                        //设置计数器工作方式2
        PCON=0X80;                        //波特率加倍
        TH1=0XF3;                                //计数器初始值设置,注意波特率是4800的
        TL1=0XF3;
        ES=1;                                                //打开接收中断
        EA=1;                                                //打开总中断
        TR1=1;                                        //打开计数器
}

/*******************************************************************************
* 函 数 名       : main
* 函数功能                 : 主函数
* 输    入       : 无
* 输    出             : 无
*******************************************************************************/
void main()
{        
        UsartInit();  //        串口初始化
        while(1);               
}

/*******************************************************************************
* 函数名         : Usart() interrupt 4
* 函数功能                  : 串口通信中断函数
* 输入           : 无
* 输出                  : 无
*******************************************************************************/
void Usart() interrupt 4
{
        u8 receiveData;

        receiveData=SBUF;//出去接收到的数据
        RI = 0;//清除接收中断标志位
        SBUF=receiveData;//将接收到的数据放入到发送寄存器
        while(!TI);                         //等待发送数据完成
        TI=0;                                                 //清除发送完成标志位
}




求大佬指点怎样把两个程序合并!!!!!
  1. #include <AT89X51.H>

  2. unsigned char code fseg[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};
  3. unsigned char code segbit[]={0x80,0x40,0x20,0x10,0x08,0x04,0x02,0x01};
  4. unsigned char  disbuf[4]={0,0,0,0};


  5. //-----------------------------------------------------------------------------
  6. // 函数原形定义
  7. #define uchar unsigned char

  8. void main (void);                                        // 主函数
  9. void LED4_Display (void);                        // LED显示
  10. void LED_OUT(uchar X);                                // LED单字节串行移位函数

  11. unsigned char code LED_0F[];                // LED字模表

  12. sbit DIO = P1^0;                                //串行数据输入
  13. sbit RCLK  = P1^1;                                //时钟脉冲信号——上升沿有效
  14. sbit SCLK = P1^2;                                //打入信号————上升沿有效

  15. //-----------------------------------------------------------------------------
  16. // 全局变量
  17. uchar LED[8];        //用于LED的8位显示缓存


  18. //*****************************************************************************
  19. // 主程序
  20. //
  21. void main (void)
  22. {

  23.         LED[0]=1;
  24.         LED[1]=2;
  25.         LED[2]=3;
  26.         

  27.         while(1)
  28.         {
  29.                 LED4_Display ();
  30.         }
  31. }

  32. void LED4_Display (void)
  33. {
  34.         unsigned char code *led_table;          // 查表指针
  35.         uchar i;
  36.         uchar j;
  37.         j=231;                                // *****
  38.         switch(j)
  39.         {
  40.           case 123:                //显示第1位
  41.         led_table = LED_0F + LED[0];
  42.         i = *led_table;

  43.         LED_OUT(i);                        
  44.         LED_OUT(0x04);               

  45.         RCLK = 0;
  46.         RCLK = 1;
  47.         //显示第2位
  48.         led_table = LED_0F + LED[1];
  49.         i = *led_table;

  50.         LED_OUT(i);               
  51.         LED_OUT(0x02);               

  52.         RCLK = 0;
  53.         RCLK = 1;
  54.         //显示第3位
  55.         led_table = LED_0F + LED[2];
  56.         i = *led_table;

  57.         LED_OUT(i);                        
  58.         LED_OUT(0x01);        

  59.         RCLK = 0;
  60.         RCLK = 1;break;
  61.         case 132:         //显示第1位
  62.         led_table = LED_0F + LED[0];
  63.         i = *led_table;

  64.         LED_OUT(i);                        
  65.         LED_OUT(0x02);               

  66.         RCLK = 0;
  67.         RCLK = 1;
  68.         //显示第2位
  69.         led_table = LED_0F + LED[1];
  70.         i = *led_table;

  71.         LED_OUT(i);               
  72.         LED_OUT(0x04);               

  73.         RCLK = 0;
  74.         RCLK = 1;
  75.         //显示第3位
  76.         led_table = LED_0F + LED[2];
  77.         i = *led_table;

  78.         LED_OUT(i);                        
  79.         LED_OUT(0x01);               
  80.         RCLK = 0;
  81.         RCLK = 1;break;
  82.         case 213:         //显示第1位
  83.         led_table = LED_0F + LED[0];
  84.         i = *led_table;

  85.         LED_OUT(i);                        
  86.         LED_OUT(0x04);               

  87.         RCLK = 0;
  88.         RCLK = 1;
  89.         //显示第2位
  90.         led_table = LED_0F + LED[1];
  91.         i = *led_table;

  92.         LED_OUT(i);               
  93.         LED_OUT(0x01);               

  94.         RCLK = 0;
  95.         RCLK = 1;
  96.         //显示第3位
  97.         led_table = LED_0F + LED[2];
  98.         i = *led_table;

  99.         LED_OUT(i);                        
  100.         LED_OUT(0x02);        

  101.         RCLK = 0;
  102.         RCLK = 1;break;
  103.         case 231:         //显示第1位
  104.         led_table = LED_0F + LED[0];
  105.         i = *led_table;

  106.         LED_OUT(i);                        
  107.         LED_OUT(0x01);               

  108.         RCLK = 0;
  109.         RCLK = 1;
  110.         //显示第2位
  111.         led_table = LED_0F + LED[1];
  112.         i = *led_table;

  113.         LED_OUT(i);               
  114.         LED_OUT(0x04);               

  115.         RCLK = 0;
  116.         RCLK = 1;
  117.         //显示第3位
  118.         led_table = LED_0F + LED[2];
  119.         i = *led_table;

  120.         LED_OUT(i);                        
  121.         LED_OUT(0x02);        

  122.         RCLK = 0;
  123.         RCLK = 1;break;
  124.         case 312:         //显示第1位
  125.         led_table = LED_0F + LED[0];
  126.         i = *led_table;

  127.         LED_OUT(i);                        
  128.         LED_OUT(0x02);               

  129.         RCLK = 0;
  130.         RCLK = 1;
  131.         //显示第2位
  132.         led_table = LED_0F + LED[1];
  133.         i = *led_table;

  134.         LED_OUT(i);               
  135.         LED_OUT(0x01);               

  136.         RCLK = 0;
  137.         RCLK = 1;
  138.         //显示第3位
  139.         led_table = LED_0F + LED[2];
  140.         i = *led_table;

  141.         LED_OUT(i);                        
  142.         LED_OUT(0x04);        

  143.         RCLK = 0;
  144.         RCLK = 1;break;
  145.         case 321:         //显示第1位
  146.         led_table = LED_0F + LED[0];
  147.         i = *led_table;

  148.         LED_OUT(i);                        
  149.         LED_OUT(0x01);               

  150.         RCLK = 0;
  151.         RCLK = 1;
  152.         //显示第2位
  153.         led_table = LED_0F + LED[1];
  154.         i = *led_table;

  155.         LED_OUT(i);               
  156.         LED_OUT(0x02);               

  157.         RCLK = 0;
  158.         RCLK = 1;
  159.         //显示第3位
  160.         led_table = LED_0F + LED[2];
  161.         i = *led_table;

  162.         LED_OUT(i);                        
  163.         LED_OUT(0x04);        

  164.         RCLK = 0;
  165.         RCLK = 1;break;
  166.         }
  167. /*        //显示第1位
  168.         led_table = LED_0F + LED[0];
  169.         i = *led_table;

  170.         LED_OUT(i);                        
  171.         LED_OUT(0x04);               

  172.         RCLK = 0;
  173.         RCLK = 1;
  174.         //显示第2位
  175.         led_table = LED_0F + LED[1];
  176.         i = *led_table;

  177.         LED_OUT(i);               
  178.         LED_OUT(0x02);               

  179.         RCLK = 0;
  180.         RCLK = 1;
  181.         //显示第3位
  182.         led_table = LED_0F + LED[2];
  183.         i = *led_table;

  184.         LED_OUT(i);                        
  185.         LED_OUT(0x01);        

  186.         RCLK = 0;
  187.         RCLK = 1;*/

  188. }

  189. void LED_OUT(uchar X)
  190. {
  191.         uchar i;
  192.         for(i=8;i>=1;i--)
  193.         {
  194.                 if (X&0x80) DIO=1; else DIO=0;
  195.                 X<<=1;
  196.                 SCLK = 0;
  197.                 SCLK = 1;
  198.         }
  199. }

  200. unsigned char code LED_0F[] =
  201. {// 0         1          2           3        4         5          6           7        8         9          A           b        C    d          E    F    -
  202.         0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0x8C,0xBF,0xC6,0xA1,0x86,0xFF,0xbf
  203. };



复制代码


4位串行595数码管显示.zip

25.64 KB, 下载次数: 15

串口通信.zip

71.04 KB, 下载次数: 11

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表