找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 6560|回复: 9
收起左侧

片盲很久以前做的万年历

  [复制链接]
ID:159269 发表于 2017-1-1 22:35 | 显示全部楼层 |阅读模式
本人是个片盲,捡到几块lcd12864  显示屏,在论坛上看了一下资料,很多用来做万年历,果断也想想做个万年历,资料都是在论坛里收的,我也忘了在那个大神哪儿下载的了。。。纯属  依葫芦画瓢 在此感谢这位大神的资料。   
上图
1.png 2.png

买的成品stc89c52       这个才   9块9
  
4.jpg
在一块老板子上找到  NYM13027 看了看旁边电路和1302 是一样的

0.png
过程就不多说了,本来就是依葫芦画瓢


0.png
修改的生日提醒,一家人的全部添加进去了,提前一天通知,有了它,爸妈在也不怕我忘掉他们生日了


0.png


  1. /*********************************************************************************************

  2. 程序名:        带字库12864LCC电子钟程序

  3. 器  件:        STC89C52,LCD12864(内置汉字字库),18B20温度芯片,1302时钟芯片        MCU晶振:12MHZ

  4. 设  计:     中国机器 (仿造杜洋万年历程序并增加了农历功能)

  5. 功  能:     显示公历年月日
  6.                      农历年月日
  7.                          星期(星期不调用DS1302内的数据,二十根据公历年月日推算的)
  8.                          温度
  9.                          时分秒
  10.                          公历重大节日
  11.                          农历纪念日与节日(用于提醒农历生日非常实用)
  12. 注:1、当农历纪念日与公历纪念日碰到同一天,则按一秒的间隔轮流显示
  13.      2、20100226加入阳历大小月和平闰年判断,调日历时自动控制每个月份的天数
  14. /*********************************************************************************************

  15. /*********************************************************************************************/

  16. //程序部分开始//
  17. /****************************************************************************/
  18. //头文件
  19. #include <AT89X52.h>
  20. #include <string.h>
  21. /*****************************************************************************/


  22. //定做PCB板的灯与扬声器定义
  23. sbit        led_back = P2^7;//背光控制(V2.1程序中加入了背光控制的硬件电路)
  24. sbit        beep   = P3^1;//扬声器

  25. /*****************************************************************************/

  26. //定做PCB板温度传感器引脚定义
  27. sbit DQ = P2 ^0 ;//ds18B20*/
  28. /*****************************************************************************/

  29. //键盘引脚定义
  30. sbit KEY_1 = P1^7;         //退出设置键,显示版本信息键
  31. sbit KEY_2 = P1^6;         //上调键
  32. sbit KEY_3 = P1^5;         //设置键
  33. sbit KEY_4 = P1^4;         //减,下调键
  34. sbit KEY_5 = P1^3;         //LED控制每次按动改变背光开关

  35. /****************************************************************************/

  36. //LCD接口定义
  37. sbit RS = P1^0;//模式位,为0输入指令,为1输入数据
  38. sbit RW = P1^1;//读写位,为0读,为1写
  39. sbit E = P1^2;//使能位
  40. #define Lcd_Bus P0 //数据总线
  41. /*****************************************************************************/

  42. //定义DS1302时钟接口
  43. sbit clock_clk = P3 ^ 0;//ds1302_clk(时钟线)
  44. sbit clock_dat = P3 ^ 6;//ds1302_dat(数据线)
  45. sbit clock_Rst = P3 ^ 7;//ds1302_Rst(复位线)
  46. /*****************************************************************************/
  47. //定义累加器A中的各位
  48. sbit a0   = ACC ^ 0;
  49. sbit a1   = ACC ^ 1;
  50. sbit a2   = ACC ^ 2;
  51. sbit a3   = ACC ^ 3;
  52. sbit a4   = ACC ^ 4;
  53. sbit a5   = ACC ^ 5;
  54. sbit a6   = ACC ^ 6;
  55. sbit a7   = ACC ^ 7;
  56. /****************************************************************************/
  57. //定义全局变量
  58. unsigned char yy,mo,dd,xq,hh,mm,ss,month_moon,day_moon,week,tiangan,dizhi,moontemp1,moontemp2;//定义时间映射全局变量(专用寄存器)
  59. bit w = 0; //调时标志位,=0时为走时状态,=1时为调时状态
  60. static unsigned char menu = 0;//定义静态小时更新用数据变量
  61. static unsigned char keys = 0;//定义静态小时更新用数据变量
  62. static unsigned char timecount = 0;//定义静态软件计数器变量

  63. signed char address,item,max,mini;

  64. /****************************************************************************/
  65. void DelayM(unsigned int a){//延时函数 1MS/次        
  66.                 unsigned char i;
  67.                 while( --a != 0){               
  68.                         for(i = 0; i < 125; i++);  //一个 ; 表示空语句,CPU空转。
  69.                 }                                      //i 从0加到125,CPU大概就耗时1毫秒
  70. }
  71. /****************************************************************************/

  72. /******************************************************************************/
  73. //LCD驱动(DY12864CBL液晶显示屏并口驱动程序)
  74. /******************************************************************************/
  75. void chk_busy(){//检查忙位(底层)
  76.    RS=0;
  77.    RW=1;
  78.    E=1;
  79.    Lcd_Bus=0xff;
  80.    while((Lcd_Bus&0x80)==0x80);
  81.    E=0;
  82. }
  83. /******************************************************************************/
  84. void write_com(unsigned char cmdcode){//写命令到LCD(底层)
  85.         chk_busy();
  86.         RS=0;
  87.         RW=0;
  88.         E=1;
  89.         Lcd_Bus=cmdcode;
  90.         E=0;
  91. }
  92. /******************************************************************************/
  93. void write_data(unsigned char Dispdata){         //写数据到LCD(底层)
  94.         chk_busy();
  95.         RS=1;
  96.         RW=0;
  97.         E=1;
  98.         Lcd_Bus=Dispdata;
  99.         E=0;
  100. }
  101. /******************************************************************************/
  102. void lcm_init(){//初始化LCD屏(被调用层)
  103.    write_com(0x30);       //选择8bit数据流
  104.    write_com(0x0c);       //开显示(无游标、不反白)
  105.    write_com(0x01);       //清除显示,并且设定地址指针为00H
  106. }
  107. /*****************************************************************************/
  108. void lcm_w_word(unsigned char *s){//向LCM发送一个字符串,长度64字符(一行)之内。(被调用层)
  109.         while(*s>0){                                        //应用:lcm_w_word("您好!"),直接显示:您好!
  110.             write_data(*s);
  111.             s++;
  112.    }
  113. }
  114. /******************************************************************************/
  115. void lcm_w_test(bit i,unsigned char word){//写指令或数据(被调用层)
  116.         if(i == 0){
  117.                 write_com(word);//写指令或数据(0,指令)
  118.         }else{
  119.                 write_data(word);//写指令或数据(1,数据)
  120.         }
  121. }
  122. /******************************************************************************/
  123. void lcm_clr(void){//清屏函数
  124.         lcm_w_test(0,0x01);        
  125. }
  126. /******************************************************************************/

  127. void lcm_clr2(void){//清屏上面3行(用空格填满要清显示的地方,因为液晶屏是静态显示的,所以这办法常用)
  128.         lcm_w_test(0,0x80);//第一行
  129.         lcm_w_word("                ");
  130.             //标尺("1234567812345678"应该能够显示满一行)
  131.    lcm_w_test(0,0x90);//第二行
  132.         lcm_w_word("                ");
  133.             //标尺("1234567812345678"应该能够显示满一行)
  134.    lcm_w_test(0,0x88);//第一行
  135.         lcm_w_word("                ");
  136.             //标尺("1234567812345678"应该能够显示满一行)
  137.    }

  138. /******************************************************************************/
  139. //DS18B20温度传感器驱动(显示0至60度,小数点后显示一位)
  140. /******************************************************************************/
  141. void Delay(int num){//延时函数
  142.         while(num--) ;
  143. }        
  144. /******************************************************************************/
  145. void Init_DS18B20(void){//初始化ds1820
  146.         unsigned char x=0;
  147.         DQ = 1;    //DQ复位
  148.         Delay(8);  //稍做延时
  149.         DQ = 0;    //单片机将DQ拉低
  150.         Delay(80); //精确延时 大于 480us
  151.         DQ = 1;    //拉高总线
  152.         Delay(14);
  153.         x=DQ;      //稍做延时后 如果x=0则初始化成功 x=1则初始化失败
  154.         Delay(20);
  155. }
  156. /******************************************************************************/
  157. unsigned char ReadOneChar(void){//读一个字节
  158.         unsigned char i=0;
  159.         unsigned char dat = 0;
  160.         for (i=8;i>0;i--){
  161.                 DQ = 0; // 给脉冲信号
  162.                 dat>>=1;
  163.                 DQ = 1; // 给脉冲信号
  164.                 if(DQ)
  165.                 dat|=0x80;
  166.                 Delay(4);
  167.         }
  168.         return(dat);
  169. }
  170. /******************************************************************************/
  171. void WriteOneChar(unsigned char dat){//写一个字节
  172.         unsigned char i=0;
  173.         for (i=8; i>0; i--){
  174.                 DQ = 0;
  175.                 DQ = dat&0x01;
  176.                 Delay(5);
  177.                 DQ = 1;
  178.                 dat>>=1;
  179.         }
  180. }
  181. /******************************************************************************/
  182. unsigned int ReadTemperature(void){//读取温度,温度值返回到此函数中,供调用
  183.         unsigned char a=0;
  184.         unsigned char b=0;
  185.         unsigned int t=0;
  186.         float tt=0;
  187.         Init_DS18B20();
  188.         WriteOneChar(0xCC); // 跳过读序号列号的操作
  189.         WriteOneChar(0x44); // 启动温度转换
  190.         Init_DS18B20();
  191.         WriteOneChar(0xCC); //跳过读序号列号的操作
  192.         WriteOneChar(0xBE); //读取温度寄存器
  193.         a=ReadOneChar();  //读低8位
  194.         b=ReadOneChar(); //读高8位
  195.         t=b;
  196.         t<<=8;
  197.         t=t|a;
  198.         tt=t*0.0625;
  199.         t= tt*10+0.5; //放大10倍输出并四舍五入
  200.         return(t);//t内的温度值,返回到子函数中,要将温度传输到temp变量,应用temp=ReabTemperature()
  201. }
  202. /*****************************************************************************/
  203. //后面会出现的函数声明(当各函数的排列适当时可不用声明,加入函数声明就不用管各个子函数出现的位置先后了?)
  204. void lcm_w_ss(void);//向液晶LCM中写秒数据
  205. void lcm_w_mm(void);//向液晶LCM中写分数据
  206. void lcm_w_hh(void);//向液晶LCM中写小时数据
  207. void lcm_w_dd(void);//写日数据
  208. void lcm_w_mo(void);//写月数据
  209. void lcm_w_yy(void);//写年数据
  210. void lcm_w_xq(void);//星期处理并送入LCM的指定区域
  211. unsigned char clock_in(void);//DS1302逐位写入字节(底层协议)
  212. void clock_out(unsigned char dd);//1302驱动程序逐位读出字节(底层协议?
  213. void Init_1302(void);//-设置1302的初始时间(2007年1月1日00时00分00秒星期一)
  214. unsigned char read_clock(unsigned char ord);//DS1302读数据(底层协议)
  215. void read_clockS(void);
  216. void Set_time(unsigned char sel);//根据选择调整的相应项目sel加1并写入DS1302
  217. void write_clock(unsigned char ord, unsigned char dd);//DS1302写数据(底层协议)
  218. void updata (void);

  219. void lcm_w_nl(void);//显示农历子函数
  220. void Conversion(bit c,unsigned char year,unsigned char month,unsigned char day); //农历年月日计算子函数
  221. void Conver_week(bit c,unsigned char year,unsigned char month,unsigned char day);//星期计算子函数
  222. void ds_w(void);

  223. /*****************************************************************************/
  224. //设置1302的初始时间(自动初始化)
  225. void Init_1302(void){//-设置1302的初始时间(2007年1月1日00时00分00秒星期一)
  226.         unsigned char f;
  227.         if(read_clock(0xc1) != 0xaa){
  228.                 write_clock(0x8e,0x00);//允许写操作
  229.                 write_clock(0x8c,0x07);//年
  230.                 write_clock(0x8a,0x01);//星期
  231.                 write_clock(0x88,0x01);//月
  232.                 write_clock(0x86,0x01);//日
  233.                 write_clock(0x84,0x00);//小时
  234.                 write_clock(0x82,0x00);//分钟
  235.                 write_clock(0x80,0x00);//秒
  236.                 write_clock(0x90,0xa5);//充电        
  237.                 write_clock(0xc0,0xaa);//写入初始化标志RAM(第00个RAM位置)
  238.                 for(f=0;f<60;f=f+2){//清除闹钟RAM位为0
  239.                         write_clock(0xc2+f,0x00);
  240.                 }
  241.                 write_clock(0x8e,0x80);//禁止写操作
  242.         }
  243. }
  244. /*****************************************************************************/
  245. //DS1302写数据(底层协议)
  246. void write_clock(unsigned char ord, unsigned char dd){
  247.         clock_clk=0;
  248.         clock_Rst=0;
  249.         clock_Rst=1;
  250.         clock_out(ord);
  251.         clock_out(dd);
  252.         clock_Rst=0;
  253.         clock_clk=1;
  254. }
  255. /*****************************************************************************/
  256. //1302驱动程序逐位读出字节(底层协议)
  257. void clock_out(unsigned char dd){
  258.         ACC=dd;
  259.         clock_dat=a0; clock_clk=1; clock_clk=0;
  260.         clock_dat=a1; clock_clk=1; clock_clk=0;
  261.         clock_dat=a2; clock_clk=1; clock_clk=0;
  262.         clock_dat=a3; clock_clk=1; clock_clk=0;
  263.         clock_dat=a4; clock_clk=1; clock_clk=0;
  264.         clock_dat=a5; clock_clk=1; clock_clk=0;
  265.         clock_dat=a6; clock_clk=1; clock_clk=0;
  266.         clock_dat=a7; clock_clk=1; clock_clk=0;
  267. }
  268. /*****************************************************************************/
  269. //DS1302逐位写入字节(底层协议)
  270. unsigned char clock_in(void){
  271.         clock_dat=1;
  272.         a0=clock_dat;
  273.         clock_clk=1; clock_clk=0; a1=clock_dat;
  274.         clock_clk=1; clock_clk=0; a2=clock_dat;
  275.         clock_clk=1; clock_clk=0; a3=clock_dat;
  276.         clock_clk=1; clock_clk=0; a4=clock_dat;
  277.         clock_clk=1; clock_clk=0; a5=clock_dat;
  278.         clock_clk=1; clock_clk=0; a6=clock_dat;
  279.         clock_clk=1; clock_clk=0; a7=clock_dat;
  280.         return(ACC);
  281. }
  282. /*****************************************************************************/
  283. //DS1302读数据(底层协议)供调用时钟数据
  284. unsigned char read_clock(unsigned char ord){
  285.         unsigned char dd=0;
  286.         clock_clk=0;
  287.         clock_Rst=0;
  288.         clock_Rst=1;
  289.         clock_out(ord);
  290.         dd=clock_in();
  291.         clock_Rst=0;
  292.         clock_clk=1;
  293.         return(dd);
  294. }

  295. /*****************************************************************************/
  296. //扬声器驱动程序(闹钟音乐)
  297. /*****************************************************************************/        
  298. void Beep(void){//BELL-扬声器--整点报时
  299.         unsigned char a;//定义变量用于发声的长度设置
  300.         for(a=60;a>0;a--){//第一个声音的长度
  301.                 beep = ~beep;//取反扬声器驱动口,以产生音频
  302.                 Delay(100);//音调设置延时
  303.         }
  304.         for(a=100;a>0;a--){//同上
  305.                 beep = ~beep;
  306.                 Delay(80);//
  307.         }
  308.         for(a=100;a>0;a--){//同上
  309.                 beep = ~beep;
  310.                 Delay(30);//
  311.         }
  312.         beep = 0;//音乐结束后扬声器输出0关闭,因为后端用9014驱动,所以控制输出1时蜂鸣响
  313. }
  314. /*****************************************************************************/
  315. void Beep_set(void){//BELL -扬声器--确定设置
  316.         unsigned char a;//定义变量用于发声的长度设置
  317.         for(a=50;a>0;a--){//第一个声音的长度
  318.                 beep = ~beep;//取反扬声器驱动口,以产生音频
  319.                 Delay(100);//音调设置延时
  320.         }
  321.         for(a=100;a>0;a--){//同上
  322.                 beep = ~beep;
  323.                 Delay(50);//
  324.         }
  325.         for(a=50;a>0;a--){//同上
  326.                 beep = ~beep;
  327.                 Delay(100);//
  328.         }
  329.         beep = 0;//音乐结束后扬声器输出0关闭,因为后端用9014反相驱动,所以控制输出0时蜂鸣响
  330. }
  331. /*****************************************************************************/
  332. void Beep_key(void){//-扬声器--按键音
  333.         unsigned char a;//定义变量用于发声的长度设置
  334.         for(a=100;a>0;a--){//声音的长度
  335.                 beep = ~beep;
  336.                 Delay(50);//音调设置延时
  337.         }
  338.         beep = 0;//音乐结束后扬声器拉高关闭
  339. }               

  340. /******************************************************************************/
  341. //农历节日数据库表,农历纪念日数据表,加上公历的重大节日
  342. /******************************************************************************/



  343. void jie_nl(void){                    //

  344.         dd = read_clock(0x87);//日
  345.             mo = read_clock(0x89);//月
  346.         yy = read_clock(0x8d);//年
  347.         Conversion(0,yy,mo,dd);//调用公历转农历子函数
  348.   //调用函数后,原有数据不变,读c_moon农历世纪,year_moon农历年,month_moon,day_moon得出阴历BCD数据        

  349.         
  350.       lcm_w_test(0,0x98);//在屏幕第四行显示节日(下面的注释行用坐标尺,不要改动)
  351. //农历节日:
  352. //                                                                                                                   ----|----------------|标尺,汉字不要对在空隙上
  353.            if ( month_moon == 0x12 && day_moon == 0x29 ){ lcm_w_word("  明天是春节!!"); }
  354.       if ( month_moon == 0x12 && day_moon == 0x30 ){ lcm_w_word("  今天是春节!!"); }
  355.       if ( month_moon == 0x01 && day_moon == 0x01 ){ lcm_w_word("大年初一拜年啦  "); }
  356.       if ( month_moon == 0x01 && day_moon == 0x14 ){ lcm_w_word("  明天元宵节!  "); }
  357.       if ( month_moon == 0x01 && day_moon == 0x15 ){ lcm_w_word("  元宵节到啦!   "); }
  358.       if ( month_moon == 0x05 && day_moon == 0x04 ){ lcm_w_word("    明天端午    "); }
  359.            if ( month_moon == 0x05 && day_moon == 0x05 ){ lcm_w_word("    今天端午    "); }
  360.       if ( month_moon == 0x07 && day_moon == 0x06 ){ lcm_w_word("  明天七月七啦  "); }
  361.       if ( month_moon == 0x07 && day_moon == 0x07 ){ lcm_w_word("牛郎织女鹊桥相会"); }
  362.       if ( month_moon == 0x08 && day_moon == 0x14 ){ lcm_w_word("  明天仲秋节    "); }
  363.       if ( month_moon == 0x08 && day_moon == 0x15 ){ lcm_w_word("    仲秋佳节    "); }
  364.            if ( month_moon == 0x09 && day_moon == 0x08 ){ lcm_w_word("    明天重阳    "); }
  365.       if ( month_moon == 0x09 && day_moon == 0x09 ){ lcm_w_word("    今天重阳节  "); }
  366.            if ( month_moon == 0x12 && day_moon == 0x07 ){ lcm_w_word("    明天腊八    "); }
  367.            if ( month_moon == 0x12 && day_moon == 0x08 ){ lcm_w_word("    今天腊八    "); }
  368.            if ( month_moon == 0x12 && day_moon == 0x22 ){ lcm_w_word("    明日辞灶    "); }
  369.            if ( month_moon == 0x12 && day_moon == 0x23 ){ lcm_w_word("    今日辞灶    "); }
  370. /*农历生日:

  371.       if ( month_moon == 0x01 && day_moon == 0x03 ){ lcm_w_word("明天月月妈妈生日"); }
  372.       
  373.               if ( month_moon == 0x10 && day_moon == 0x11 ){ lcm_w_word("  明天三姨生日  "); }
  374.            if ( month_moon == 0x10 && day_moon == 0x11 ){ lcm_w_word("今天三姨生日!  "); }
  375.            
  376.          
  377. */
  378.                
  379. }


  380. void jie_gl(void){  //

  381.         dd = read_clock(0x87);//日
  382.              mo = read_clock(0x89);//月
  383.         yy = read_clock(0x8d);//年
  384.        //Conversion(0,yy,mo,dd);//调用公历转农历子函数
  385.   //调用函数后,原有数据不变,读c_moon农历世纪,year_moon农历年,month_moon,day_moon得出阴历BCD数据        


  386.       lcm_w_test(0,0x98);//在屏幕第四行显示节日(下面的注释行用坐标尺,不要改动)

  387. //下面是公历的,有些没意思的,就不显示了
  388.       if ( mo == 0x01 && dd == 0x01 ){ lcm_w_word(" Happy new year!"); }
  389.     // ( mo == 0x01 && dd == 0x22 ){ lcm_w_word("    节日实验    "); }//2010-01-22是农历腊八节,用于实验轮流显示
  390.                 if ( mo == 0x02 && dd == 0x13 ){ lcm_w_word("  明天情人节了  "); }               
  391.                 if ( mo == 0x02 && dd == 0x14 ){ lcm_w_word("  今天是情人节  "); }               
  392.                 if ( mo == 0x03 && dd == 0x08 ){ lcm_w_word("   3.8妇女节    "); }
  393.                 if ( mo == 0x03 && dd == 0x11 ){ lcm_w_word("  明天是植树节  "); }
  394.                 if ( mo == 0x03 && dd == 0x12 ){ lcm_w_word("    植树节      "); }
  395.            if ( mo == 0x03 && dd == 0x14 ){ lcm_w_word("明天消费者权益日"); }        
  396.                 if ( mo == 0x03 && dd == 0x15 ){ lcm_w_word("  消费者权益日  "); }                                
  397.                 if ( mo == 0x04 && dd == 0x01 ){ lcm_w_word("愚人节  小心上当"); }               
  398.                 //if ( mo == 0x04 && dd == 0x07 ){ lcm_w_word("  世界卫生日    "); }               
  399.                 if ( mo == 0x04 && dd == 0x08 ){ lcm_w_word("    复活节      "); }               
  400.                 //if ( mo == 0x04 && dd == 0x13 ){ lcm_w_word("  黑色星期五    "); }
  401.                                 
  402.                 if ( mo == 0x05 && dd == 0x01 ){ lcm_w_word("  劳动节  放假  "); }//5               
  403.            if ( mo == 0x05 && dd == 0x04 ){ lcm_w_word("    青年节      "); }               
  404.                 if ( mo == 0x05 && dd == 0x08 ){ lcm_w_word("  世界红十字日  "); }               
  405.                 if ( mo == 0x05 && dd == 0x12 ){ lcm_w_word("  国际护士节    "); }               
  406.                 if ( mo == 0x05 && dd == 0x05 ){ lcm_w_word("近日注意母亲节  "); }
  407.                 if ( mo == 0x05 && dd == 0x06 ){ lcm_w_word("近日注意母亲节  "); }
  408.                 //if ( mo == 0x05 && dd == 0x15 ){ lcm_w_word("  国际家庭日    "); }
  409.                 if ( mo == 0x05 && dd == 0x31 ){ lcm_w_word("  明天儿童节    "); }               
  410.                                 
  411.                 if ( mo == 0x06 && dd == 0x01 ){ lcm_w_word("月月儿童节快乐! "); }//6
  412.            if ( mo == 0x06 && dd == 0x05 ){ lcm_w_word("  世界环境日    "); }               
  413.                 //if ( mo == 0x06 && dd == 0x26 ){ lcm_w_word("  国际禁毒日    "); }               
  414.                 //if ( mo == 0x06 && dd == 0x06 ){ lcm_w_word("  全国爱眼日    "); }               
  415.                 if ( mo == 0x06 && dd == 0x13 ){ lcm_w_word("近日注意父亲节  "); }
  416.                 if ( mo == 0x06 && dd == 0x15 ){ lcm_w_word("近日注意父亲节  "); }
  417.                                 
  418.                 if ( mo == 0x07 && dd == 0x01 ){ lcm_w_word("香港回归记念日  "); }//7
  419.                 //if ( mo == 0x07 && dd == 0x07 ){ lcm_w_word("抗日战争记念日  "); }
  420.                 //if ( mo == 0x07 && dd == 0x11 ){ lcm_w_word("  世界人口日    "); }

  421.                 if ( mo == 0x08 && dd == 0x01 ){ lcm_w_word("  八一建军节    "); }//8
  422.         //        if ( mo == 0x08 && dd == 0x08 ){ lcm_w_word("  中国男子节    "); }
  423.            if ( mo == 0x08 && dd == 0x15 ){ lcm_w_word("抗战胜利记念日  "); }
  424.       if ( mo == 0x09 && dd == 0x09 ){ lcm_w_word("  明天教师节    "); }
  425.                 if ( mo == 0x09 && dd == 0x10 ){ lcm_w_word("  中国教师节    "); }//9
  426.                 if ( mo == 0x09 && dd == 0x18 ){ lcm_w_word("九一八事变纪念  "); }
  427.                 if ( mo == 0x09 && dd == 0x20 ){ lcm_w_word("  国际爱牙日    "); }
  428.                 if ( mo == 0x09 && dd == 0x27 ){ lcm_w_word("  世界旅游日    "); }

  429.                 if ( mo == 0x10 && dd == 0x01 ){ lcm_w_word("  中国国庆节    "); }//10
  430.            //if ( mo == 0x10 && dd == 0x04 ){ lcm_w_word("  世界动物日    "); }
  431.                 if ( mo == 0x10 && dd == 0x24 ){ lcm_w_word("    联合国日    "); }
  432.                 //if ( mo == 0x10 && dd == 0x12 ){ lcm_w_word("明天国际教师节  "); }
  433.                 //if ( mo == 0x10 && dd == 0x13 ){ lcm_w_word("  国际教师节    "); }

  434.                 //if ( mo == 0x11 && dd == 0x10 ){ lcm_w_word("  世界青年节    "); }//11
  435.            //        if ( mo == 0x11 && dd == 0x17 ){ lcm_w_word("  世界学生节    "); }

  436.            //        if ( mo == 0x12 && dd == 0x01 ){ lcm_w_word("  世界艾滋病日  "); }//12
  437.                 if ( mo == 0x12 && dd == 0x23 ){ lcm_w_word("  明晚平安夜    "); }
  438.                 if ( mo == 0x12 && dd == 0x24 ){ lcm_w_word("  今晚平安夜    "); }
  439.                 if ( mo == 0x12 && dd == 0x25 ){ lcm_w_word("  今天圣诞节    "); }
  440.                 if ( mo == 0x12 && dd == 0x31 ){ lcm_w_word("    明日元旦    "); }

  441.         else{//非节日时显示时晨信息,判断小时数据范围,调取显示
  442.                      if ( hh >= 0x04 && hh <  0x06 ){ lcm_w_word("★★__▲▲__凌晨"); }
  443.                           if ( hh >= 0x06 && hh <  0x08 ){ lcm_w_word("☆○__▲△__早晨"); }
  444.                           if ( hh >= 0x08 && hh <  0x12 ){ lcm_w_word("__●__▲▲__上午"); }
  445.                           if ( hh == 0x12)               { lcm_w_word("____▲●▲__中午"); }
  446.                           if ( hh >= 0x13 && hh <  0x18 ){ lcm_w_word("__▲▲__●__下午"); }
  447.                           if ( hh >= 0x18 && hh <  0x22 ){ lcm_w_word("△▲__●☆__晚上"); }
  448.                           if ( hh >= 0x22 && hh <= 0x23 ){ lcm_w_word("△▲__★☆__夜里"); }
  449.                           if ( hh >= 0x00 && hh <  0x04 ){ lcm_w_word("__★▲▲★__深夜"); }
  450.                  }
  451. }
  452. /*****************************************************************************/
  453. //电子钟应用层程序设计
  454. /*****************************************************************************/
  455. //向LCM中填写 年 数据
  456. void lcm_w_yy(void){

  457.                 yy = read_clock(0x8d);//调用1302时钟数据中的年数据,从地址0x8d中
  458.                 lcm_w_test(0,0x80);
  459.                 lcm_w_word("20");//显示内容字符20
  460.                 lcm_w_test(1,(yy/16)+0x30);//函数参数1,代表本行写数据,YY/16+0X30得出年十位数字的显示码地址,送显示        
  461.                 lcm_w_test(1,yy%16+0x30);//函数参数1,代表本行写数据,YY%得出年个位数字的显示码地址,送显示
  462.                 //因为年数据两位数字如果是09,C51默认是16进制数据,所以要用16来分离十位、个位。     
  463. }

  464. void lcm_w_yy2(void){//调年时的显示程序
  465.      yy = read_clock(0x8d);//调用1302时钟数据中的年数据,从地址0x8d中
  466.           lcm_w_test(0,0x93);
  467.           lcm_w_word("20");//显示内容字符20
  468.           lcm_w_test(1,(yy/16)+0x30);//函数参数1,代表本行写数据,YY/16+0X30得出年十位数字的显示码地址,送显示        
  469.           lcm_w_test(1,yy%16+0x30);//函数?
  470.      lcm_w_word("  年");
  471.                  }

  472. /*****************************************************************************/
  473. //向LCM中填写 月 数据
  474. void lcm_w_mo(void){
  475.                 mo = read_clock(0x89);//调用1302时钟数据中的月数据,从地址0x89中
  476.            lcm_w_test(0,0x82);
  477.       lcm_w_word("-");
  478.            lcm_w_test(1,(mo/16)+0x30);
  479.                 lcm_w_test(1,mo%16+0x30);//与16取余数,得到月份的个位数,加0x30得到该数字的液晶内定显示码送显示
  480.                 lcm_w_word("-");//调用字符显示函数,显示文字 月
  481. }        


  482. //向LCM中填写调月时 月 数据显示格式(调月时调用显示格式)
  483. void lcm_w_mo2(void){
  484.                 mo = read_clock(0x89);//调用1302时钟数据中的月数据,从地址0x89中
  485.       lcm_w_test(0,0x93);
  486.       lcm_w_word("  ");
  487.       lcm_w_test(1,(mo/16)+0x30);
  488.                 lcm_w_test(1,mo%16+0x30);//与16取余数,得到月份的个位数,加0x30得到该数字的液晶内定显示码送显示
  489.                 lcm_w_word("  月");//调用字符显示函数,显示文字 月
  490. }        


  491. /*****************************************************************************/
  492. //向LCM中填写 日 数据
  493. void lcm_w_dd(void){

  494.                 dd = read_clock(0x87);//从1302芯片中读取日数据,从地址0x87中
  495.                 lcm_w_test(0,0x84);        
  496.                 //if(dd/16 != 0){lcm_w_test(1,(dd/16)+0x30);}        //如果十位数不是0,就换算显示
  497.                 //else{lcm_w_test(1,0x20);}//如果十位数是0,则显示个空格,不显示数据
  498.            lcm_w_test(1,(dd/16)+0x30);
  499.                 lcm_w_test(1,dd%16+0x30);//第一个1参数,表示本行写数据,日数据与16取余得个位数,加0x30得到显示码
  500.                                 
  501. }        

  502. //向LCM中填写调日期时的 日 数据显示格式(调日时调用的显示格式)
  503. void lcm_w_dd2(void){

  504.                 dd = read_clock(0x87);//从1302芯片中读取日数据,从地址0x87中
  505.            lcm_w_test(0,0x93);        
  506.                 lcm_w_word("  ");
  507.            lcm_w_test(1,(dd/16)+0x30);
  508.                 lcm_w_test(1,dd%16+0x30);//第一个1参数,表示本行写数据,日数据与16取余得个位数,加0x30得到显示码
  509.                 lcm_w_word("  日");//显示字符 日
  510.                                 
  511. }        

  512. //}/*****************************************************************************/
  513. //星期处理并送入LCM的指定区域
  514. void lcm_w_xq(void){

  515.            unsigned char sel,dd,mo,yy;

  516.         dd = read_clock(0x87);//日
  517.              mo = read_clock(0x89);//月
  518.              yy = read_clock(0x8d);//年
  519.         Conver_week(0,yy,mo,dd);//调用公历换算星期子函数
  520.    
  521.    sel=week;//week是公历转换星期子函数的运行结果,结果为0-6,0是星期日
  522.         lcm_w_test(0,0x87);//显示位置
  523.         if(sel==0)  {lcm_w_word("日");}    //0=星期日
  524.           if(sel==6)  {lcm_w_word("六");}    //
  525.           if(sel==5)  {lcm_w_word("五");}    //
  526.           if(sel==4)  {lcm_w_word("四");}    //
  527.    //if(sel==3)  {lcm_w_word("三");}经调试发现液晶屏不认这一句,只好用下一句显示 三
  528.           if(sel==3)  {lcm_w_test(1,0xc8);lcm_w_test(1,0xfd);} //此指令等于lcm_w_word("三"); 因为“三”的内码失效。
  529.           if(sel==2)  {lcm_w_word("二");}    //
  530.           if(sel==1)  {lcm_w_word("一");}    //星期一
  531.         lcm_w_test(0,0x85);
  532.         lcm_w_word("星期");//调用字符显示子函数,显示 星期 两个字

  533.    
  534.    
  535.    

  536. }        




  537. /*****************************************************************************/
  538. //向LCM中填写 小时 数据
  539. void lcm_w_hh(void){
  540.         if(read_clock(0x85) != hh){//如果程序中的小时与1302芯片中的不同,
  541.                 hh = read_clock(0x85);//刷新程序中的小时数据
  542.                         if (hh > 0x07 && hh < 0x22 && w == 0){ //整点判断
  543.                                 Beep();//调用一次整点报时音
  544.                         }
  545.                 }
  546.                 lcm_w_test(0,0x90);//第一个参数0,表示本行写入LCM的是指令,指定显示位置88H(第三行左端)
  547.                 lcm_w_test(1,(hh/16)+0x30);//显示十位
  548.                 lcm_w_test(1,hh%16+0x30);//显示个位
  549.                                 
  550. }


  551. //向LCM中填写 小时 数据(用于调整时间时调用)
  552. void lcm_w_hh2(void){
  553.         if(read_clock(0x85) != hh){//如果程序中的小时与1302芯片中的不同,
  554.                 hh = read_clock(0x85);//刷新程序中的小时数据
  555.                         if (hh > 0x07 && hh < 0x22 && w == 0){ //整点判断
  556.                                 Beep();//调用一次整点报时音
  557.                         }
  558.                 }
  559.                 lcm_w_test(0,0x93);//第一个参数0,表示本行写入LCM的是指令,指定显示位置88H(第三行左端)
  560.       lcm_w_word("  ");
  561.                 lcm_w_test(1,(hh/16)+0x30);//显示十位
  562.                 lcm_w_test(1,hh%16+0x30);//显示个位
  563.       lcm_w_word("  时");
  564.                                 
  565. }
  566. /*****************************************************************************/
  567. //向LCM中填写 分钟 数据
  568. void lcm_w_mm(void){
  569.         if(read_clock(0x83) != mm){//如果1302芯片中的分钟数据与程序中的分钟变量不相等               
  570.                 mm = read_clock(0x83);//刷新程序中的分钟数据
  571.         }
  572.         //        if(w == 0){                                
  573.                 //        jie_nl();//调用节日显示子函数
  574.         // DelayM(1000);
  575.         // jie_gl();
  576.              //        }                                
  577.         lcm_w_test(0,0x91);//写指令,指定显示位置为89H(第二行的第二个字符)        
  578.         lcm_w_test(1,0x3a);          //":"写数据,显示冒号,采用直接指定冒号的液晶内定显示码的方法
  579.         lcm_w_test(1,(mm/16)+0x30);//向液晶写数据,显示分钟的十位数
  580.         lcm_w_test(1,mm%16+0x30);//向液晶写数据,显示分钟的个位数
  581.    lcm_w_test(1,0x3a);          //":"写数据,显示冒号,采用直接指定冒号的液晶内定显示码的方法
  582. }


  583. void lcm_w_mm2(void){
  584. if(read_clock(0x83) != mm){//如果1302芯片中的分钟数据与程序中的分钟变量不相等               
  585.                 mm = read_clock(0x83);//刷新程序中的分钟数据
  586.         }
  587.         
  588.         lcm_w_test(0,0x93);//写指令,指定显示位置为89H(第二行的第二个字符)        
  589.    lcm_w_word("  ");
  590.         lcm_w_test(1,(mm/16)+0x30);//向液晶写数据,显示分钟的十位数
  591.         lcm_w_test(1,mm%16+0x30);//向液晶写数据,显示分钟的个位数
  592.         lcm_w_word("  分");
  593. }

  594. /*****************************************************************************/
  595. //向LCM中填写 秒 数据 和温度数据
  596. void lcm_w_ss(void)
  597. {
  598.         unsigned int i=0;
  599.         unsigned char a=0,b=0,c=0;
  600.         if(read_clock(0x81) != ss)
  601.         {        //判断是否需要更新,如果1302芯片中的秒数据与程序秒变量不同
  602.                 ss = read_clock(0x81);        //更新程序中的秒数据
  603.                 lcm_w_test(0,0x93);                //指定秒值在LCM上的显示位置是8BH
  604.                 lcm_w_test(1,(ss/16)+0x30);        //显示秒十位               
  605.                 lcm_w_test(1,ss%16+0x30);        //显示秒个位(除以16,取余数)

  606.                 updata ();        //刷新数据子函数

  607.                 lcm_w_test(0,0x95);                //指定温度在LCM上的显示位置为8DH               
  608.                 i=ReadTemperature();//读温度并送显,调用了18B20的读取温度函数返回值,共3位,含十分之一位数字
  609.                 a=i/100;//得到十位上的数字
  610.                 lcm_w_test(1,a+0x30);        //参数1,表示该行写数据,换算显示温度十位数字的显示码
  611.                 b=i/10-a*10;//处理得到第二位数字(个位)
  612.                 lcm_w_test(1,b+0x30);        //参数1,表示该行写的是数据,显示温度个位数字
  613.                 lcm_w_test(1,0x2e);         //"."参数1,表示该行写的数据,显示一个小数点
  614.                 c=i-a*100-b*10;//取得温度的第三位(最末尾,也就是小数点后的一位数字
  615.                 lcm_w_test(1,c+0x30); //换算小数点后的一位数字的显示码(加0x30),并显示               
  616.                 lcm_w_word("℃");        //调用字符显示函数,显示摄氏度的符号
  617.    }        
  618. }

  619. void jie(void)//公历和农历节日按照一秒间隔轮换显示(节日显示)
  620. {
  621. ss = read_clock(0x81);
  622. if(ss%16==1|ss%16==3|ss%16==5|ss%16==7|ss%16==9){jie_nl();}//秒是奇数调用农历节日显示子函数
  623. if(ss%16==2|ss%16==4|ss%16==6|ss%16==8|ss%16==0){jie_gl();}//秒是偶数数,调用公历节日显示子函数
  624. }

  625. /*****************************************************************************/
  626. //刷新数据子函数,供调用,在这段程序之前已经调用过
  627. void updata (void){
  628.                 lcm_w_mm();//刷新 分
  629.                 lcm_w_hh();//刷新 小时
  630.                 lcm_w_dd();//刷新 日
  631.                 lcm_w_xq();//更新星期值        
  632.                 lcm_w_mo();//刷新 月
  633.                 lcm_w_yy();//刷新 年
  634.       lcm_w_nl();//刷新农历
  635.       jie();//刷新节日显示


  636. }
  637. /*****************************************************************************/
  638. void welcome(void){//开机欢迎显示内容,标尺不能改动,文字不要对准横线之间的空隙

  639.          lcm_w_word(" K3:设置         K4:加 K2:减     K5:退出         K1:背光");//
  640.         //----------|-------1-------|-------3-------|-------2-------|-------4-------|-----//标尺
  641. }
  642. //标尺能提示文字显示的位置在哪一行,是否在中心

  643. /*****************************************************************************/
  644. //调整时间子函数,设置键、数据范围、上调加一,下调减一功能。
  645. void Set_time(unsigned char sel){ //根据选择调整的相应项目加1并写入DS1302,函数参数是按动设置键的次数
  646.   

  647.   lcm_w_test(0,0x9b);//第一参数0表示本行写入指令,指定下面行的 调整 显示起始位置为9AH
  648.   lcm_w_word("★调整");//调用字符显示函数,显示 调整字样
  649.   
  650.   //if(sel==6)  {lcm_w_word("秒钟");address=0x80; max=0;mini=0;}     //秒7,没有必要调了

  651.   if(sel==4)  {lcm_w_word("分钟");address=0x82; max=59;mini=0;
  652.    lcm_w_mm2();
  653.    ds_w();
  654.    lcm_w_mm2();
  655.   
  656.   }    //分钟6,按动6次显示 调整分钟
  657.   //并指定分钟数据写入1302芯片的地址是0x82,分钟数据的最大值是59,最小值是0


  658.   if(sel==3)  {lcm_w_word("小时");address=0x84; max=23;mini=0;
  659.   
  660.     lcm_w_hh2();
  661.     ds_w();
  662.     lcm_w_hh2();
  663.   
  664.   }    //小时5,按动5次显示 调整小时
  665.   //规定小时数据写入1302芯片的位置是0x84,小时数据最大值23,最小值是0
  666.   

  667.   if(sel==2)  {lcm_w_word("日期");
  668.                address=0x86;

  669.                mo = read_clock(0x89);//读月
  670.                                         moontemp1=mo/16;
  671.                                         moontemp2=mo%16;
  672.                                         mo=moontemp1*10+moontemp2;//转换成10进制月份数据

  673.                yy = read_clock(0x8d);//读年
  674.                moontemp1=yy/16;
  675.                                         moontemp2=yy%16;
  676.                                         yy=moontemp1*10+moontemp2;//转换成10进制年份数据

  677.                if(mo==2&&yy%4!=0){max=28;mini=1;}//平年2月28天
  678.                                         if(mo==2&&yy%4==0){max=29;mini=1;}//闰年2月29天
  679.                                         if(mo==1||mo==3||mo==5||mo==7||mo==8||mo==10||mo==12){max=31;mini=1;}//31天的月份
  680.                                         if(mo==4||mo==6||mo==9||mo==11){max=30;mini=1;}//30天的月份
  681.     lcm_w_dd2();
  682.     ds_w();
  683.     lcm_w_dd2();
  684.   }    //日3,按动3次显示 调整日期
  685.   //规定日期数据写入2302的位置地址是0x86,日期最大值31,最小值是1



  686.   if(sel==1)  {lcm_w_word("月份");address=0x88; max=12;mini=1;
  687.     lcm_w_mo2();
  688.          ds_w();
  689.     lcm_w_mo2();
  690.   
  691.       }    //月2,按动2次显示 调整月份
  692.   //规定月份写入1302的位置地址是0x88,月份最大值12,最小值1


  693.   if(sel==0)  {lcm_w_word("年份");address=0x8c; max=99; mini=0;
  694.      lcm_w_yy2();
  695.      ds_w();
  696.      lcm_w_yy2();

  697.      }    //年1,按动1次显示 调整年份,
  698.   //规定年份写入1302的地址是0x8c,年份的最大值99,最小值0

  699. }
  700. /*****************************************************************************/
  701. //被调数据加一或减一,并检查数据范围,写入1302指定地址保存
  702. void ds_w(void){

  703. item=((read_clock(address+1))/16)*10 + (read_clock(address+1))%16;
  704.   if(KEY_2 == 0){//如果按动上调键
  705.           item++;//数加 1  
  706.   }
  707.   if(KEY_4 == 0){//如果按动下调键
  708.           item--;//数减 1
  709.   }
  710.   if(item>max) item=mini;//查看数值是否在有效范围之内   
  711.   if(item<mini) item=max;//如果数值小于最小值,则自动等于最大值           
  712.   write_clock(0x8e,0x00);//允许写1302芯片操作
  713.   write_clock(address,(item/10)*16+item%10);//转换成16进制写入1302
  714.   write_clock(0x8e,0x80);//写保护,禁止写操作
  715.   }

  716. /*********************************************************************
  717. 农历有关程序:

  718. **********************************************************************
  719. ********************************************************************/
  720. //C51写的公历转农历和星期
  721. #define uchar unsigned char
  722. #define uint unsigned int
  723. #include <intrins.h>
  724. /*
  725. 公历年对应的农历数据,每年三字节,
  726. 格式第一字节BIT7-4 位表示闰月月份,值为0 为无闰月,BIT3-0 对应农历第1-4 月的大小
  727. 第二字节BIT7-0 对应农历第5-12 月大小,第三字节BIT7 表示农历第13 个月大小
  728. 月份对应的位为1 表示本农历月大(30 天),为0 表示小(29 天)
  729. 第三字节BIT6-5 表示春节的公历月份,BIT4-0 表示春节的公历日期
  730. */
  731. code uchar year_code[597] = {

  732. 0x04,0xAe,0x53, //1901 0
  733. 0x0A,0x57,0x48, //1902 3
  734. 0x55,0x26,0xBd, //1903 6
  735. 0x0d,0x26,0x50, //1904 9
  736. 0x0d,0x95,0x44, //1905 12
  737. 0x46,0xAA,0xB9, //1906 15
  738. 0x05,0x6A,0x4d, //1907 18
  739. 0x09,0xAd,0x42, //1908 21
  740. 0x24,0xAe,0xB6, //1909
  741. 0x04,0xAe,0x4A, //1910
  742. 0x6A,0x4d,0xBe, //1911
  743. 0x0A,0x4d,0x52, //1912
  744. 0x0d,0x25,0x46, //1913
  745. 0x5d,0x52,0xBA, //1914
  746. 0x0B,0x54,0x4e, //1915
  747. 0x0d,0x6A,0x43, //1916
  748. 0x29,0x6d,0x37, //1917
  749. 0x09,0x5B,0x4B, //1918
  750. 0x74,0x9B,0xC1, //1919
  751. 0x04,0x97,0x54, //1920
  752. 0x0A,0x4B,0x48, //1921
  753. 0x5B,0x25,0xBC, //1922
  754. 0x06,0xA5,0x50, //1923
  755. 0x06,0xd4,0x45, //1924
  756. 0x4A,0xdA,0xB8, //1925
  757. 0x02,0xB6,0x4d, //1926
  758. 0x09,0x57,0x42, //1927
  759. 0x24,0x97,0xB7, //1928
  760. 0x04,0x97,0x4A, //1929
  761. 0x66,0x4B,0x3e, //1930
  762. 0x0d,0x4A,0x51, //1931
  763. 0x0e,0xA5,0x46, //1932
  764. 0x56,0xd4,0xBA, //1933
  765. 0x05,0xAd,0x4e, //1934
  766. 0x02,0xB6,0x44, //1935
  767. 0x39,0x37,0x38, //1936
  768. 0x09,0x2e,0x4B, //1937
  769. 0x7C,0x96,0xBf, //1938
  770. 0x0C,0x95,0x53, //1939
  771. 0x0d,0x4A,0x48, //1940
  772. 0x6d,0xA5,0x3B, //1941
  773. 0x0B,0x55,0x4f, //1942
  774. 0x05,0x6A,0x45, //1943
  775. 0x4A,0xAd,0xB9, //1944
  776. 0x02,0x5d,0x4d, //1945
  777. 0x09,0x2d,0x42, //1946
  778. 0x2C,0x95,0xB6, //1947
  779. 0x0A,0x95,0x4A, //1948
  780. 0x7B,0x4A,0xBd, //1949
  781. 0x06,0xCA,0x51, //1950
  782. 0x0B,0x55,0x46, //1951
  783. 0x55,0x5A,0xBB, //1952
  784. 0x04,0xdA,0x4e, //1953
  785. 0x0A,0x5B,0x43, //1954
  786. 0x35,0x2B,0xB8, //1955
  787. 0x05,0x2B,0x4C, //1956
  788. 0x8A,0x95,0x3f, //1957
  789. 0x0e,0x95,0x52, //1958
  790. 0x06,0xAA,0x48, //1959
  791. 0x7A,0xd5,0x3C, //1960
  792. 0x0A,0xB5,0x4f, //1961
  793. 0x04,0xB6,0x45, //1962
  794. 0x4A,0x57,0x39, //1963
  795. 0x0A,0x57,0x4d, //1964
  796. 0x05,0x26,0x42, //1965
  797. 0x3e,0x93,0x35, //1966
  798. 0x0d,0x95,0x49, //1967
  799. 0x75,0xAA,0xBe, //1968
  800. 0x05,0x6A,0x51, //1969
  801. 0x09,0x6d,0x46, //1970
  802. 0x54,0xAe,0xBB, //1971
  803. 0x04,0xAd,0x4f, //1972
  804. 0x0A,0x4d,0x43, //1973
  805. 0x4d,0x26,0xB7, //1974
  806. 0x0d,0x25,0x4B, //1975
  807. 0x8d,0x52,0xBf, //1976
  808. 0x0B,0x54,0x52, //1977
  809. 0x0B,0x6A,0x47, //1978
  810. 0x69,0x6d,0x3C, //1979
  811. 0x09,0x5B,0x50, //1980
  812. 0x04,0x9B,0x45, //1981
  813. 0x4A,0x4B,0xB9, //1982
  814. 0x0A,0x4B,0x4d, //1983
  815. 0xAB,0x25,0xC2, //1984
  816. 0x06,0xA5,0x54, //1985
  817. 0x06,0xd4,0x49, //1986
  818. 0x6A,0xdA,0x3d, //1987
  819. 0x0A,0xB6,0x51, //1988
  820. 0x09,0x37,0x46, //1989
  821. 0x54,0x97,0xBB, //1990
  822. 0x04,0x97,0x4f, //1991
  823. 0x06,0x4B,0x44, //1992
  824. 0x36,0xA5,0x37, //1993
  825. 0x0e,0xA5,0x4A, //1994
  826. 0x86,0xB2,0xBf, //1995
  827. 0x05,0xAC,0x53, //1996
  828. 0x0A,0xB6,0x47, //1997
  829. 0x59,0x36,0xBC, //1998
  830. 0x09,0x2e,0x50, //1999 294
  831. 0x0C,0x96,0x45, //2000 297
  832. 0x4d,0x4A,0xB8, //2001
  833. 0x0d,0x4A,0x4C, //2002
  834. 0x0d,0xA5,0x41, //2003
  835. 0x25,0xAA,0xB6, //2004
  836. 0x05,0x6A,0x49, //2005
  837. 0x7A,0xAd,0xBd, //2006
  838. 0x02,0x5d,0x52, //2007
  839. 0x09,0x2d,0x47, //2008
  840. 0x5C,0x95,0xBA, //2009
  841. 0x0A,0x95,0x4e, //2010
  842. 0x0B,0x4A,0x43, //2011
  843. 0x4B,0x55,0x37, //2012
  844. 0x0A,0xd5,0x4A, //2013
  845. 0x95,0x5A,0xBf, //2014
  846. 0x04,0xBA,0x53, //2015
  847. 0x0A,0x5B,0x48, //2016
  848. 0x65,0x2B,0xBC, //2017
  849. 0x05,0x2B,0x50, //2018
  850. 0x0A,0x93,0x45, //2019
  851. 0x47,0x4A,0xB9, //2020
  852. 0x06,0xAA,0x4C, //2021
  853. 0x0A,0xd5,0x41, //2022
  854. 0x24,0xdA,0xB6, //2023
  855. 0x04,0xB6,0x4A, //2024
  856. 0x69,0x57,0x3d, //2025
  857. 0x0A,0x4e,0x51, //2026
  858. 0x0d,0x26,0x46, //2027
  859. 0x5e,0x93,0x3A, //2028
  860. 0x0d,0x53,0x4d, //2029
  861. 0x05,0xAA,0x43, //2030
  862. 0x36,0xB5,0x37, //2031
  863. 0x09,0x6d,0x4B, //2032
  864. 0xB4,0xAe,0xBf, //2033
  865. 0x04,0xAd,0x53, //2034
  866. 0x0A,0x4d,0x48, //2035
  867. 0x6d,0x25,0xBC, //2036
  868. 0x0d,0x25,0x4f, //2037
  869. 0x0d,0x52,0x44, //2038
  870. 0x5d,0xAA,0x38, //2039
  871. 0x0B,0x5A,0x4C, //2040
  872. 0x05,0x6d,0x41, //2041
  873. 0x24,0xAd,0xB6, //2042
  874. 0x04,0x9B,0x4A, //2043
  875. 0x7A,0x4B,0xBe, //2044
  876. 0x0A,0x4B,0x51, //2045
  877. 0x0A,0xA5,0x46, //2046
  878. 0x5B,0x52,0xBA, //2047
  879. 0x06,0xd2,0x4e, //2048
  880. 0x0A,0xdA,0x42, //2049
  881. 0x35,0x5B,0x37, //2050
  882. 0x09,0x37,0x4B, //2051
  883. 0x84,0x97,0xC1, //2052
  884. 0x04,0x97,0x53, //2053
  885. 0x06,0x4B,0x48, //2054
  886. 0x66,0xA5,0x3C, //2055
  887. 0x0e,0xA5,0x4f, //2056
  888. 0x06,0xB2,0x44, //2057
  889. 0x4A,0xB6,0x38, //2058
  890. 0x0A,0xAe,0x4C, //2059
  891. 0x09,0x2e,0x42, //2060
  892. 0x3C,0x97,0x35, //2061
  893. 0x0C,0x96,0x49, //2062
  894. 0x7d,0x4A,0xBd, //2063
  895. 0x0d,0x4A,0x51, //2064
  896. 0x0d,0xA5,0x45, //2065
  897. 0x55,0xAA,0xBA, //2066
  898. 0x05,0x6A,0x4e, //2067
  899. 0x0A,0x6d,0x43, //2068
  900. 0x45,0x2e,0xB7, //2069
  901. 0x05,0x2d,0x4B, //2070
  902. 0x8A,0x95,0xBf, //2071
  903. 0x0A,0x95,0x53, //2072
  904. 0x0B,0x4A,0x47, //2073
  905. 0x6B,0x55,0x3B, //2074
  906. 0x0A,0xd5,0x4f, //2075
  907. 0x05,0x5A,0x45, //2076
  908. 0x4A,0x5d,0x38, //2077
  909. 0x0A,0x5B,0x4C, //2078
  910. 0x05,0x2B,0x42, //2079
  911. 0x3A,0x93,0xB6, //2080
  912. 0x06,0x93,0x49, //2081
  913. 0x77,0x29,0xBd, //2082
  914. 0x06,0xAA,0x51, //2083
  915. 0x0A,0xd5,0x46, //2084
  916. 0x54,0xdA,0xBA, //2085
  917. 0x04,0xB6,0x4e, //2086
  918. 0x0A,0x57,0x43, //2087
  919. 0x45,0x27,0x38, //2088
  920. 0x0d,0x26,0x4A, //2089
  921. 0x8e,0x93,0x3e, //2090
  922. 0x0d,0x52,0x52, //2091
  923. 0x0d,0xAA,0x47, //2092
  924. 0x66,0xB5,0x3B, //2093
  925. 0x05,0x6d,0x4f, //2094
  926. 0x04,0xAe,0x45, //2095
  927. 0x4A,0x4e,0xB9, //2096
  928. 0x0A,0x4d,0x4C, //2097
  929. 0x0d,0x15,0x41, //2098
  930. 0x2d,0x92,0xB5, //2099
  931. };
  932. ///月份数据表
  933. code uchar day_code1[9]={0x0,0x1f,0x3b,0x5a,0x78,0x97,0xb5,0xd4,0xf3};
  934. code uint day_code2[3]={0x111,0x130,0x14e};
  935. /*
  936. 函数功能:输入BCD阳历数据,输出BCD阴历数据(只允许1901-2099年)
  937. 调用函数示例:Conversion(c_sun,year_sun,month_sun,day_sun)
  938. 如:计算2004年10月16日Conversion(0,0x4,0x10,0x16);
  939. c_sun,year_sun,month_sun,day_sun均为BCD数据,c_sun为世纪标志位,c_sun=0为21世
  940. 纪,c_sun=1为19世纪
  941. 调用函数后,原有数据不变,读c_moon,year_moon,month_moon,day_moon得出阴历BCD数据
  942. */
  943. bit c_moon;
  944. data uchar year_moon,month_moon,day_moon,week;
  945. /*子函数,用于读取数据表中农历月的大月或小月,如果该月为大返回1,为小返回0*/
  946. bit get_moon_day(uchar month_p,uint table_addr)
  947. {
  948. uchar temp;
  949. switch (month_p)
  950. {
  951. case 1:{temp=year_code[table_addr]&0x08;
  952. if (temp==0)return(0);else return(1);}
  953. case 2:{temp=year_code[table_addr]&0x04;
  954. if (temp==0)return(0);else return(1);}
  955. case 3:{temp=year_code[table_addr]&0x02;
  956. if (temp==0)return(0);else return(1);}
  957. case 4:{temp=year_code[table_addr]&0x01;
  958. if (temp==0)return(0);else return(1);}
  959. case 5:{temp=year_code[table_addr+1]&0x80;
  960. if (temp==0) return(0);else return(1);}
  961. case 6:{temp=year_code[table_addr+1]&0x40;
  962. if (temp==0)return(0);else return(1);}
  963. case 7:{temp=year_code[table_addr+1]&0x20;
  964. if (temp==0)return(0);else return(1);}
  965. case 8:{temp=year_code[table_addr+1]&0x10;
  966. if (temp==0)return(0);else return(1);}
  967. case 9:{temp=year_code[table_addr+1]&0x08;
  968. if (temp==0)return(0);else return(1);}
  969. case 10:{temp=year_code[table_addr+1]&0x04;
  970. if (temp==0)return(0);else return(1);}
  971. case 11:{temp=year_code[table_addr+1]&0x02;
  972. if (temp==0)return(0);else return(1);}
  973. case 12:{temp=year_code[table_addr+1]&0x01;
  974. if (temp==0)return(0);else return(1);}
  975. case 13:{temp=year_code[table_addr+2]&0x80;
  976. if (temp==0)return(0);else return(1);}
  977. }
  978. }
  979. /*
  980. 函数功能:输入BCD阳历数据,输出BCD阴历数据(只允许1901-2099年)
  981. 调用函数示例:Conversion(c_sun,year_sun,month_sun,day_sun)
  982. 如:计算2004年10月16日Conversion(0,0x4,0x10,0x16);
  983. c_sun,year_sun,month_sun,day_sun均为BCD数据,c_sun为世纪标志位,c_sun=0为21世
  984. 纪,c_sun=1为19世纪
  985. 调用函数后,原有数据不变,读c_moon,year_moon,month_moon,day_moon得出阴历BCD数据
  986. */
  987. void Conversion(bit c,uchar year,uchar month,uchar day)
  988. { //c=0 为21世纪,c=1 为19世纪 输入输出数据均为BCD数据
  989. uchar temp1,temp2,temp3,month_p;
  990. uint temp4,table_addr;
  991. bit flag2,flag_y;
  992. temp1=year/16; //BCD->hex 先把数据转换为十六进制
  993. temp2=year%16;
  994. year=temp1*10+temp2;
  995. temp1=month/16;
  996. temp2=month%16;
  997. month=temp1*10+temp2;
  998. temp1=day/16;
  999. temp2=day%16;
  1000. day=temp1*10+temp2;
  1001. //定位数据表地址
  1002. if(c==0)
  1003. {
  1004. table_addr=(year+0x64-1)*0x3;
  1005. }
  1006. else
  1007. {
  1008. table_addr=(year-1)*0x3;
  1009. }
  1010. //定位数据表地址完成
  1011. //取当年春节所在的公历月份
  1012. temp1=year_code[table_addr+2]&0x60;
  1013. temp1=_cror_(temp1,5);
  1014. //取当年春节所在的公历月份完成
  1015. //取当年春节所在的公历日
  1016. temp2=year_code[table_addr+2]&0x1f;
  1017. //取当年春节所在的公历日完成
  1018. // 计算当年春年离当年元旦的天数,春节只会在公历1月或2月
  1019. if(temp1==0x1)
  1020. {
  1021. temp3=temp2-1;
  1022. }
  1023. else
  1024. {
  1025. temp3=temp2+0x1f-1;
  1026. }
  1027. // 计算当年春年离当年元旦的天数完成
  1028. //计算公历日离当年元旦的天数,为了减少运算,用了两个表
  1029. //day_code1[9],day_code2[3]
  1030. //如果公历月在九月或前,天数会少于0xff,用表day_code1[9],
  1031. //在九月后,天数大于0xff,用表day_code2[3]
  1032. //如输入公历日为8月10日,则公历日离元旦天数为day_code1[8-1]+10-1
  1033. //如输入公历日为11月10日,则公历日离元旦天数为day_code2[11-10]+10-1
  1034. if (month<10)
  1035. {
  1036. temp4=day_code1[month-1]+day-1;
  1037. }
  1038. else
  1039. {
  1040. temp4=day_code2[month-10]+day-1;
  1041. }
  1042. if ((month>0x2)&&(year%0x4==0))
  1043. { //如果公历月大于2月并且该年的2月为闰月,天数加1
  1044. temp4+=1;
  1045. }
  1046. //计算公历日离当年元旦的天数完成
  1047. //判断公历日在春节前还是春节后
  1048. if (temp4>=temp3)
  1049. { //公历日在春节后或就是春节当日使用下面代码进行运算
  1050. temp4-=temp3;
  1051. month=0x1;
  1052. month_p=0x1; //month_p为月份指向,公历日在春节前或就是春节当日month_p指向首月
  1053. flag2=get_moon_day(month_p,table_addr);
  1054. //检查该农历月为大小还是小月,大月返回1,小月返回0
  1055. flag_y=0;
  1056. if(flag2==0)temp1=0x1d; //小月29天
  1057. else temp1=0x1e; //大小30天
  1058. temp2=year_code[table_addr]&0xf0;
  1059. temp2=_cror_(temp2,4); //从数据表中取该年的闰月月份,如为0则该年无闰月
  1060. while(temp4>=temp1)
  1061. {
  1062. temp4-=temp1;
  1063. month_p+=1;
  1064. if(month==temp2)
  1065. {
  1066. flag_y=~flag_y;
  1067. if(flag_y==0)
  1068. month+=1;
  1069. }
  1070. else month+=1;
  1071. flag2=get_moon_day(month_p,table_addr);
  1072. if(flag2==0)temp1=0x1d;
  1073. else temp1=0x1e;
  1074. }
  1075. day=temp4+1;
  1076. }
  1077. else
  1078. { //公历日在春节前使用下面代码进行运算
  1079. temp3-=temp4;
  1080. if (year==0x0)
  1081. {
  1082. year=0x63;c=1;
  1083. }
  1084. else year-=1;
  1085. table_addr-=0x3;
  1086. month=0xc;
  1087. temp2=year_code[table_addr]&0xf0;
  1088. temp2=_cror_(temp2,4);
  1089. if (temp2==0)
  1090. month_p=0xc;
  1091. else
  1092. month_p=0xd; //
  1093. /*month_p为月份指向,如果当年有闰月,一年有十三个月,月指向13,无闰月指向12*/
  1094. flag_y=0;
  1095. flag2=get_moon_day(month_p,table_addr);
  1096. if(flag2==0)temp1=0x1d;
  1097. else temp1=0x1e;
  1098. while(temp3>temp1)
  1099. {
  1100. temp3-=temp1;
  1101. month_p-=1;
  1102. if(flag_y==0)month-=1;
  1103. if(month==temp2)flag_y=~flag_y;
  1104. flag2=get_moon_day(month_p,table_addr);
  1105. if(flag2==0)temp1=0x1d;
  1106. else temp1=0x1e;
  1107. }
  1108. day=temp1-temp3+1;
  1109. }
  1110. c_moon=c; //HEX->BCD ,运算结束后,把数据转换为BCD数据
  1111. temp1=year/10;
  1112. temp1=_crol_(temp1,4);
  1113. temp2=year%10;
  1114. year_moon=temp1|temp2;
  1115. temp1=month/10;
  1116. temp1=_crol_(temp1,4);
  1117. temp2=month%10;
  1118. month_moon=temp1|temp2;
  1119. temp1=day/10;
  1120. temp1=_crol_(temp1,4);
  1121. temp2=day%10;
  1122. day_moon=temp1|temp2;
  1123. }

  1124. /*************************************************************************
  1125. /*函数功能:输入BCD阳历数据,输出BCD星期数据(只允许1901-2099年)
  1126. 调用函数示例:Conver_week(c_sun,year_sun,month_sun,day_sun)
  1127. 如:计算2004年10月16日Conversion(0,0x4,0x10,0x16);
  1128. c_sun,year_sun,month_sun,day_sun均为BCD数据,c_sun为世纪标志位,c_sun=0为21世
  1129. 纪,c_sun=1为19世纪
  1130. 调用函数后,原有数据不变,读week得出阴历BCD数据
  1131. */
  1132. code uchar table_week[12]={0,3,3,6,1,4,6,2,5,0,3,5}; //月修正数据表
  1133. /*
  1134. 算法:日期+年份+所过闰年数+月较正数之和除7 的余数就是星期但如果是在
  1135. 闰年又不到3 月份上述之和要减一天再除7
  1136. 星期数为0
  1137. */

  1138. void Conver_week(bit c,uchar year,uchar month,uchar day)
  1139. {//c=0 为21世纪,c=1 为19世纪 输入输出数据均为BCD数据
  1140. uchar temp1,temp2;
  1141. temp1=year/16; //BCD->hex 先把数据转换为十六进制
  1142. temp2=year%16;
  1143. year=temp1*10+temp2;
  1144. temp1=month/16;
  1145. temp2=month%16;
  1146. month=temp1*10+temp2;
  1147. temp1=day/16;
  1148. temp2=day%16;
  1149. day=temp1*10+temp2;
  1150. if (c==0){year+=0x64;} //如果为21世纪,年份数加100
  1151. temp1=year/0x4; //所过闰年数只算1900年之后的
  1152. temp2=year+temp1;
  1153. temp2=temp2%0x7; //为节省资源,先进行一次取余,避免数大于0xff,避免使用整型数据
  1154. temp2=temp2+day+table_week[month-1];
  1155. if (year%0x4==0&&month<3)temp2-=1;
  1156. week=temp2%0x7;
  1157. }



  1158. /******************************************
  1159. 显示农历年月日

  1160. *******************************************/
  1161. //向LCM中填写 农历年月日数据
  1162. void lcm_w_nl(void){

  1163.       dd = read_clock(0x87);//日
  1164.            mo = read_clock(0x89);//月
  1165.            yy = read_clock(0x8d);//年
  1166.       Conversion(0,yy,mo,dd);//调用公历转农历子函数
  1167.   //调用函数后,原有数据不变,读c_moon农历世纪,year_moon农历年,month_moon,day_moon得出阴历BCD数据
  1168.                 lcm_w_test(0,0x88);
  1169.                 lcm_w_word("农历20");//显示内容字符20
  1170.                
  1171.                 lcm_w_test(1,(year_moon/16)+0x30);//函数参数1,代表本行写数据,YY/16+0X30得出年十位数字的显示码地址,送显示        
  1172.                 lcm_w_test(1,year_moon%16+0x30);//函数参数1,代表本行写数据,YY%得出年个位数字的显示码地址,送显示
  1173.                
  1174.       lcm_w_word("-");
  1175.                
  1176.       lcm_w_test(1,(month_moon/16)+0x30);
  1177.                 lcm_w_test(1,month_moon%16+0x30);//与16取余数,得到月份的个位数,加0x30得到该数字的液晶内定显示码送显示
  1178.                
  1179.                 lcm_w_word("-");//调用字符显示函数,显示文字 月

  1180.                   lcm_w_test(1,(day_moon/16)+0x30);
  1181.                 lcm_w_test(1,day_moon%16+0x30);//第一个1参数,表示本行写数据,日数据与16取余得个位数,加0x30得到显示码
  1182.                
  1183.                                 
  1184. }        


  1185. /*****************************************************************************/
  1186. //---主程序---//
  1187. /*****************************************************************************/
  1188. main() {
  1189.         unsigned char e=0;
  1190.         //KEY_I = 0;
  1191.         KEY_1 = 1;KEY_2 = 1;KEY_3 = 1;KEY_4 = 1;KEY_5=1;//初始键盘
  1192.         beep=0;//因为用9014三极管驱动蜂鸣器,所以beep=1时,蜂鸣器响
  1193.    led_back=1;
  1194.         yy=0xff;mo=0xff;dd=0xff;xq=0xff;hh=0xff;mm=0xff;ss=0xff; //各数据刷新
  1195.         Beep();//鸣响一声        
  1196.         Init_1302();//初始化1302时钟芯片
  1197.         lcm_init();//初始化液晶显示器
  1198.         lcm_clr();//清屏
  1199.         welcome();//显示欢迎信息,显示欢迎函数内设定的内容
  1200.         DelayM(3000); //欢迎信息显示停留1秒
  1201.    lcm_init();//
  1202.    lcm_w_nl();//
  1203. /*****************************************************************************/
  1204. while(1){//主循环

  1205.                if (KEY_5 == 0) // 按下背光控制
  1206.               {
  1207.                        DelayM(20);//防抖
  1208.                         
  1209.                        if(KEY_5 == 0){                                
  1210.                                 Beep_key();//确定按键音
  1211.                            led_back =~led_back; //改变背光状态
  1212.                                  
  1213.                                 }        
  1214.                                         while(KEY_5 == 0);//等待键松开
  1215.            }      



  1216.                 if (w == 0){   //W为程序头段规定的正常走时标志位,=0时说明在正常走时
  1217.                 lcm_w_ss();//刷新 秒
  1218.                 }
  1219.                 else {                //否则(W!=0的情况下就是调时状态)启动调时
  1220.                 }
  1221.                 //------------------------------------------------------------------
  1222.                 if (KEY_3 == 0) // 按下设置键
  1223.               {
  1224.                        DelayM(20);           //去抖
  1225.                         //led_g3 = 0;led_r = 1;
  1226.                         if(KEY_3 == 0 && w == 1)  //如果按下设置键时,系统是调时状态 本键用于调整下一项
  1227.                         {
  1228.                                 Beep_key();//按键音
  1229.                                 e++;
  1230.                                 if (e >= 6 ){e = 0;}
  1231.                                 Set_time(e);//调用调整时间子函数                                
  1232.                         }


  1233.                         if(KEY_3 == 0 && w == 0)  //如果按下设置键时,系统正在正常走时状态时就进入调时状态
  1234.                         {
  1235.                                 Beep_set();//确定按键音
  1236.                                 //led_b = 1;//
  1237.                                 lcm_clr();//清屏
  1238.             lcm_clr2();//清前三行屏幕显示
  1239.                                 w=1;          //进入调时,w是正常走时的标志位,=1进入调试状态,=0进入正常走时状态
  1240.                         
  1241.                                 lcm_w_test(0,0x80);
  1242.                                 lcm_w_word("Esc");
  1243.             lcm_w_test(0,0x90);//指定显示位置
  1244.                                 lcm_w_word("[+]");//在上一行指定位置显示引号内的字符
  1245.             lcm_w_test(0,0x88);
  1246.                                 lcm_w_word("Sel");
  1247.                       lcm_w_test(0,0x98);//函数第一参数是0,表示本很写入液晶指令,指定显示位置98H
  1248.                                 lcm_w_word("[-]");//在上一行指定的位置显示 引号内的字符                                
  1249.                                 Set_time(e);//调用调整时间子函数
  1250.                         }
  1251.                        while(KEY_3 == 0);//等待键松开
  1252.                
  1253.               }
  1254.                 //------------------------------------------------------------------
  1255.                 if (KEY_1 == 0) // 按下退出键Esc,当在调时状态时就退出调时
  1256.               {
  1257.                        DelayM(20);
  1258.                         //led_g1 = 0;led_r = 1;
  1259.                        if(KEY_1 == 0 && w == 1){        //如果w==1说明在调时状态,按动这个键,退出调时                        
  1260.                                 Beep_set();//确定按键音
  1261.                                 w = 0;          //退出调时,进入正常走时状体
  1262.                                 e = 0;        //设置键功能循环中控制显示 下一项 的参数归0        
  1263.                     lcm_w_nl();//刷新农历显示
  1264.                         }
  1265.                         if(KEY_1 == 0 && w == 0){                                
  1266.                                 lcm_clr();//清屏
  1267.                                 lcm_w_test(0,0x80);
  1268.                                 welcome();//显示欢迎信息
  1269.                                 while(KEY_1 == 0);//等待键松开  
  1270.                         }
  1271.                                 lcm_clr();//清屏
  1272.                                 updata ();        //刷新数据
  1273.                                 lcm_w_mm();//刷新 分
  1274.             lcm_w_nl();//
  1275.                        while(KEY_1 == 0);//等待键松开  
  1276.               }
  1277.                 //------------------------------------------------------------------
  1278.                 if (KEY_2 == 0 && w == 1){ // 加减调整,KEY_2为上调键,如果上调键按下,且系统在调时状态{
  1279.                        DelayM(20); //延时消抖动20毫秒
  1280.                        if(KEY_2 == 0 && w == 1){        //确认上调键是按下的                        
  1281.                                 Beep_key();//按键音
  1282.                                 Set_time(e);//调用调时子函数
  1283.                
  1284.                         }
  1285.                        while(KEY_2 == 0);//等待键松开
  1286.               }
  1287.                 //------------------------------------------------------------------
  1288.                 if (KEY_4 == 0 && w == 1){ // 加减调整,KEY_4为下调键,如果下调键按下而且系统在调时状态              
  1289.                        DelayM(20);        //延时消抖动20毫秒
  1290.                             if(KEY_4 == 0 && w == 1){        //确定下调键是按下的                        
  1291.                                 Beep_key();//按键音
  1292.                                 Set_time(e);//调用调时的子函数
  1293.                         }
  1294.                        while(KEY_4 == 0);//等待键松开
  1295.               }
  1296. }        }        

复制代码

0.png
所有资料打包下载(包含源程序 pcb 原理图等):
LCD12864带农历和农历纪念日(有字库)调时界面星期自动纪念日轮显万年历适合定做PCB(.zip (143.62 KB, 下载次数: 65)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:159269 发表于 2017-1-1 22:41 | 显示全部楼层
怎么看通过没?
回复

使用道具 举报

ID:83510 发表于 2017-1-3 17:32 | 显示全部楼层
多谢分享
回复

使用道具 举报

ID:83510 发表于 2017-1-3 17:33 | 显示全部楼层
多谢分享
回复

使用道具 举报

ID:201672 发表于 2017-5-29 15:32 | 显示全部楼层
楼主牛人啊!多谢分享
回复

使用道具 举报

ID:202586 发表于 2017-7-19 00:02 | 显示全部楼层
NB 谢谢分享 好长啊  这个程序多大?要多大的单片存得下,2051可以不   也想做一个
回复

使用道具 举报

ID:229752 发表于 2019-5-17 14:54 | 显示全部楼层
顶顶更健康
回复

使用道具 举报

ID:517951 发表于 2020-11-15 14:59 | 显示全部楼层
收藏学习代码
回复

使用道具 举报

ID:846386 发表于 2020-12-2 23:17 | 显示全部楼层
代码不错,先学习了
回复

使用道具 举报

ID:357153 发表于 2020-12-28 14:40 | 显示全部楼层
感谢楼主的分享。收藏、值得学习
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表