找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2970|回复: 0
打印 上一主题 下一主题
收起左侧

利用DAC0832产生锯齿波形发生器设计

[复制链接]
跳转到指定楼层
楼主
ID:159637 发表于 2017-1-3 15:46 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
设计并输出模拟信号,具体要求为:模拟信号为锯齿波,周期为1s。
产生指定波形可以通过DAC来实现,不同波形产生实质上是对输出的二进制数字量进行相应改变来实现的。要求熟悉DAC0832工作原理及DAC0832与51单片机的接口电路。
根据控制系统设计要求,撰写《课程设计说明书》一份,要求:
1)编写程序流程图,写出DAC0832产生锯齿波的源程序,并应用proteus仿真软件进行仿真;

2)字数不少于3000字。

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表