找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 2736|回复: 0
打印 上一主题 下一主题
收起左侧

计数器vhdl代码

[复制链接]
跳转到指定楼层
楼主
ID:85342 发表于 2015-7-10 22:06 | 只看该作者 回帖奖励 |正序浏览 |阅读模式
计数器vhdl代码。
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY ZBF_CHECK IS
PORT(clk,reset:IN STD_LOGIC;
     q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END ZBF_CHECK;
ARCHITECTURE struc OF ZBF_CHECK IS
SIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
PROCESS(clk)
BEGIN
IF(clk'EVENT AND clk='1')
THEN
  IF reset='1'
    THEN
    q_temp<="0000";
  ELSIF q_temp="1001"THEN
    q_temp<="0000";
    ELSE
    q_temp<=q_temp+1;
  END IF;
END IF;
END PROCESS;
q<=q_temp;
END struc;

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的积分奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表