找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 5492|回复: 1
收起左侧

试用verilog设计74LS138译码器,其功能表如下表所示

[复制链接]
ID:209315 发表于 2017-6-12 19:43 | 显示全部楼层 |阅读模式
22.png
功能表:
11.png
1、        源程序:
module ls138(g1,g2,sel,y);
input  g1,g2;
input  [2:0]   sel;
output [7:0]   y;
reg    [7:0]   y;
always@(g1,g2,sel)
begin
if(g2) y<=8'b11111111;
else if (!g1) y<=8'b11111111;
else
case(sel)
3'b000:y=8'b11111110;
3'b001:y=8'b11111101;
3'b010:y=8'b11111011;
3'b011:y=8'b11110111;
3'b100:y=8'b11101111;
3'b101:y=8'b11011111;
3'b110:y=8'b10111111;
3'b111:y=8'b01111111;
default y=8'b11111111;
endcase
end
endmodule
//仿真图

0.png

全部资料下载地址:
ls138.rar (373.08 KB, 下载次数: 17)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表