找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4679|回复: 0
打印 上一主题 下一主题
收起左侧

FPGA七人选择器ego1 设计源码

[复制链接]
跳转到指定楼层
楼主
1、完成7人表决电路设计,LED灯表示通过、否决。
(1)开关表示赞成与否,1~7编号(1赞成,0不赞成);
(2)LED显示表决的结果;
(3)数码管分别显示赞成、否决的人数;
(4)工作时钟100HZ即可。   
2、所有程序设计代码包括设计代码、仿真代码和约束文件。

FPGA源程序如下:
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 2018/06/20 21:42:40
  7. // Design Name:
  8. // Module Name: vote_7
  9. // Project Name:
  10. // Target Devices:
  11. // Tool Versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////


  21. module vote_7(
  22.         input clk,
  23.         input rst,
  24.         input[6:0]vote,                                         //7位按键开关
  25.         output reg led,                                        //小灯表示赞同or否决        
  26.         output out1,                                        //数码管1输出
  27.         output out2,                                        //数码管2输出
  28.     output reg [6:0]state1,                        //数码管1显示赞同人数的总和
  29.     output reg [6:0]state2                //数码管2显示否决人数的总和

  30.         );
  31.         
  32. reg [2:0]sum;      //计算赞同人数(开关)的总和
  33. always @(posedge clk)
  34.     begin
  35.         if(!rst)
  36.             begin
  37.                 sum<=0;                        //初始化sum等于0
  38.             end
  39.                 else
  40.                         sum<=vote[6]+vote[5]+vote[4]+vote[3]+vote[2]+vote[1]+vote[0];   //sum计人数(拨码开关)的数量,求人数(拨码开关)的总和
  41.    end        
  42. assign out1 = 1'b1;                                        //给数码管的两个输出out1、out2使能
  43. assign out2 = 1'b1;
  44. //片选一个数码管显示否决人数,将sum显示的值显示到数码管1上,sum=0数码管显示0,sum=1数码管显示1,sum=2数码管显示2······
  45. always@(negedge rst,posedge clk)
  46.         begin     
  47.               if(!rst)
  48.                   state1 <= 7'hff;                                //数码管1初始化
  49.               else
  50.                 begin
  51.                       case(sum[2:0])                        
  52.                                 3'h0:state1 <= 7'b0111111;
  53.                                 3'h1:state1 <= 7'b0000110;
  54.                                 3'h2:state1 <= 7'b1011011;
  55.                                 3'h3:state1 <= 7'b1001111;
  56.                                 3'h4:state1 <= 7'b1100110;
  57.                                 3'h5:state1 <= 7'b1101101;
  58.                                 3'h6:state1 <= 7'b1111101;
  59.                                 3'h7:state1 <= 7'b0000111;
  60.                                 default        :
  61.                                         state1 <= 7'b0111111;
  62.                         endcase
  63.                 end
  64.     end
  65. //片选一个数码管显示否决人数,将sum显示的值显示到数码管2上,sum=0数码管显示7,sum=1数码管显示6,sum=2数码管显示5······        
  66. always@(negedge rst,posedge clk)               
  67.         begin     
  68.               if(!rst)
  69.                   state2 <= 7'hff;
  70.               else
  71.                 begin
  72.                       case(sum)
  73.                                 3'h0:state2 <= 7'b0000111;
  74.                                 3'h1:state2 <= 7'b1111101;
  75.                                 3'h2:state2 <= 7'b1101101;
  76.                                 3'h3:state2 <= 7'b1100110;
  77.                                 3'h4:state2 <= 7'b1001111;
  78.                                 3'h5:state2 <= 7'b1011011;
  79.                                 3'h6:state2 <= 7'b0000110;
  80.                                 3'h7:state2 <= 7'b0111111;
  81.                                 default        :
  82.                                         state2 <= 7'h0000111;
  83.                         endcase
  84.                 end
  85.     end
  86. //用小灯显示通过和不通过,小灯亮,则通过;小灯灭则不通过。当sum值大于3时led小灯亮,否则小灯灭
  87. always @(negedge rst,posedge clk)
  88.     begin
  89.         if(!rst)
  90.             begin
  91.                 led<=0;                        //初始化小灯
  92.             end
  93.                 else if(sum>=4)                        
  94.                         led<=1;
  95.                 else
  96.                     led<=0;
  97. end        
  98. endmodule
复制代码


所有资料51hei提供下载:
vote_7.zip (2.25 KB, 下载次数: 38)



评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖 顶 踩
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表