找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 39086|回复: 125
打印 上一主题 下一主题
收起左侧

单片机智能温控风扇设计 带智能调速人体感应 Proteus仿真 源码 原理图都有

  [复制链接]
跳转到指定楼层
楼主
51单片机做的温空风扇 数码管显示 带人体感应模块,实现智能调速,功能非常强大,这是一个小项目, 源码 原理图 还有proteus仿真等等都是一应俱全. 焊接注意事项和调试讲解,以及详细的制作过程.

温空风扇实物图:


温空风扇仿真截图:



温空风扇视频:


温空风扇的DXP格式 protel99格式 温控风扇原理图:


DXP格式用            Altium designer Summer 软件打开
protel99格式用       protel99SE 软件打开
PDF格式用            PDF 软件打开
Word格式用           Word 或用WPS 软件打开

4种格式的原理图都内容是一样的  只是打开方式不同

请看原理图焊接,不要看仿真图焊接。

wrod格式里面的原理图是复制出来的,有一点点变行变形,麻烦大家注意一下,尽量看其他三种格式的图焊接,

如果论文里面的原理图和原理图文件夹内的图不一样的话,请大家以原理图文件夹内的为准,原理图文件夹的图是和实物配套的,可以自己截图或复制,然后粘贴到论文里面去。

智能调速温控风扇的文章截图:


绪  论    4
1  系统概述    5
1.1  STC89C52单片机简介    5
1. 2  本设计任务和主要内容    5
2  方案论证    6
2.1 温度传感器的选用    6
2.2  控制核心的选择    7
2.3显示电路    7
2.4调速方式    7
2.5控制执行部件    8
3 系统原理    8
3.1  系统总体设计    8
3.2  控制装置原理    9
3.3  温度检测和显示电路    9
3.3.1  DS18B20的温度处理方法    9
3.3.2  温度传感器和显示电路组成    11
3.4  电机调速电路    11
3.4.1  电机调速原理    12
3.4.2  电机控制模块设计    13
4  控制器软件设计    14
4.1  主程序    14
4.2  数字温度传感器模块和显示子模块    15
4.3  电机调速与控制子模块    17
结 束 语    19
参考文献    20
附录一    21

元件清单:

Comment
Description
Designator
Quantity
10uF
电容
C1
1
20
电容
C2, C3
2
 
发光二极管
D1
1
SMG04_1
数码管
DS1
1
红外热释电
人体感应传感器
P1
1
Header 2
电源接口
P2, P3
2
9012
三极管
Q1, Q2, Q3, Q4, Q5
5
1K
电阻
R1, R2, R3, R8, R9,  R10, R11, R12
8
1K
电阻
R4, R5, R6, R7, R15
5
1K
电阻
R13
1
10K
电阻
R14, R16
2
SW-PB
按键
S1, S2, S3, S4
4
sw-灰色
电源开关
SW1
1
单片机
单片机
U1
1
DS18B20
温度传感器
U2
1
12M
晶振
Y1
1

温空风扇程序:
如果没有装KEIL软件
找到  .c   .h结尾的文件即为程序。打开方式选择记事本打开
或者到开发资料里安装KEIL软件


  1. #include <reg52.h>                 //调用单片机头文件
  2. #define uchar unsigned char  //无符号字符型 宏定义        变量范围0~255
  3. #define uint  unsigned int         //无符号整型 宏定义        变量范围0~65535

  4. //数码管段选定义      0     1    2    3    4    5        6         7          8           9        
  5. uchar code smg_du[]={0x28,0xe4,0x42,0x72,0xe5,0xa8,0x41,0x77,0x20,0xa0,
  6.                                            0x60,0x25,0x39,0x26,0x31,0x71,0xff};         //断码
  7. //数码管位选定义
  8. uchar code smg_we[]={0xef,0xdf,0xbf,0x7f};
  9. uchar dis_smg[8] = {0};        
  10. uchar smg_i = 3;    //显示数码管的个位数
  11. sbit dq   = P2^4;        //18b20 IO口的定义
  12. sbit hw = P2^5;
  13. uchar miao = 30;
  14. uchar flag_en;

  15. bit flag_lj_en;                 //按键连加使能
  16. bit flag_lj_3_en;         //按键连3次连加后使能  加的数就越大了
  17. uchar key_time,key_value;      //用做连加的中间变量
  18. bit key_500ms  ;
  19. sbit pwm = P2^3;  
  20. uchar f_pwm_l ;          //越小越慢

  21. uint temperature ;  //
  22. bit flag_200ms ;
  23. uchar menu_1;       //菜单设计的变量
  24. uint t_high = 200,t_low = 100;           //温度上下限报警值

  25. /***********************1ms延时函数*****************************/
  26. void delay_1ms(uint q)
  27. {
  28.         uint i,j;
  29.         for(i=0;i<q;i++)
  30.                 for(j=0;j<120;j++);
  31. }

  32. /***********************小延时函数*****************************/
  33. void delay_uint(uint q)
  34. {
  35.         while(q--);
  36. }


  37. /***********************数码显示函数*****************************/
  38. void display()
  39. {
  40.         static uchar i;   
  41.         i++;
  42.         if(i >= smg_i)
  43.                 i = 0;        
  44.         P1 = 0xff;                         //消隐
  45.         P3 = smg_we[i];                          //位选
  46.         P1 = dis_smg[i];                 //段选               

  47. }

  48. /***********************18b20初始化函数*****************************/
  49. void init_18b20()
  50. {
  51.         bit q;
  52.         dq = 1;                                //把总线拿高
  53.         delay_uint(1);            //15us
  54.         dq = 0;                                //给复位脉冲
  55.         delay_uint(80);                //750us
  56.         dq = 1;                                //把总线拿高 等待
  57.         delay_uint(10);                //110us
  58.         q = dq;                                //读取18b20初始化信号
  59.         delay_uint(20);                //200us
  60.         dq = 1;                                //把总线拿高 释放总线
  61. }

  62. /*************写18b20内的数据***************/
  63. void write_18b20(uchar dat)
  64. {
  65.         uchar i;
  66.         for(i=0;i<8;i++)
  67.         {                                         //写数据是低位开始
  68.                 dq = 0;                         //把总线拿低写时间隙开始
  69.                 dq = dat & 0x01; //向18b20总线写数据了
  70.                 delay_uint(5);         // 60us
  71.                 dq = 1;                         //释放总线
  72.                 dat >>= 1;
  73.         }        
  74. }

  75. /*************读取18b20内的数据***************/
  76. uchar read_18b20()
  77. {
  78.         uchar i,value;
  79.         for(i=0;i<8;i++)
  80.         {
  81.                 dq = 0;                         //把总线拿低读时间隙开始
  82.                 value >>= 1;         //读数据是低位开始
  83.                 dq = 1;                         //释放总线
  84.                 if(dq == 1)                 //开始读写数据
  85.                         value |= 0x80;
  86.                 delay_uint(5);         //60us        读一个时间隙最少要保持60us的时间
  87.         }
  88.         return value;                 //返回数据
  89. }

  90. /*************读取温度的值 读出来的是小数***************/
  91. uint read_temp()
  92. {
  93.         uint value;
  94.         uchar low;                           //在读取温度的时候如果中断的太频繁了,就应该把中断给关了,否则会影响到18b20的时序
  95.         init_18b20();                   //初始化18b20
  96.         write_18b20(0xcc);           //跳过64位ROM
  97.         write_18b20(0x44);           //启动一次温度转换命令
  98.         delay_uint(50);                   //500us

  99.         init_18b20();                   //初始化18b20
  100.         
  101.         write_18b20(0xcc);           //跳过64位ROM
  102.         write_18b20(0xbe);           //发出读取暂存器命令
  103.         
  104.         low = read_18b20();           //读温度低字节
  105.         value = read_18b20();  //读温度高字节
  106.         value <<= 8;                   //把温度的高位左移8位
  107.         value |= low;                   //把读出的温度低位放到value的低八位中
  108.         value *= 0.625;               //转换到温度值 小数
  109.         return value;                   //返回读出的温度 带小数
  110. }

  111. /*************定时器0初始化程序***************/
  112. void time_init()         
  113. {
  114.         EA   = 1;                   //开总中断
  115.         TMOD = 0X21;          //定时器0、定时器1工作方式1
  116.         ET0  = 1;                  //开定时器0中断
  117.         TR0  = 1;                  //允许定时器0定时

  118.         ET1  = 1;                  //开定时器0中断
  119.         TR1  = 1;                  //允许定时器0定时
  120. }

  121. /********************独立按键程序*****************/
  122. uchar key_can;         //按键值

  123. void key()         //独立按键程序
  124. {
  125.         static uchar key_new;
  126.         key_can = 20;                   //按键值还原
  127.         P2 |= 0x07;
  128.         if((P2 & 0x07) != 0x07)                //按键按下
  129.         {
  130.                 if(key_500ms == 1)        //连加
  131.                 {
  132.                         key_500ms = 0;
  133.                         key_new = 1;
  134.                 }
  135.                 delay_1ms(1);                     //按键消抖动
  136.                 if(((P2 & 0x07) != 0x07) && (key_new == 1))
  137.                 {                                                //确认是按键按下
  138.                         key_new = 0;
  139.                         switch(P2 & 0x07)
  140.                         {
  141.                                 case 0x06: key_can = 1; break;           //得到k2键值
  142.                                 case 0x04: key_can = 2; break;           //得到k3键值
  143.                                 case 0x02: key_can = 3; break;           //得到k4键值
  144.                         }
  145.                         flag_lj_en = 1;         //连加使能
  146.                 }                        
  147.         }
  148.         else
  149.         {
  150.                 if(key_new == 0)
  151.                 {
  152.                         key_new = 1;
  153.                         flag_lj_en = 0;                //关闭连加使能
  154.                         flag_lj_3_en = 0;        //关闭3秒后使能
  155.                         key_value = 0;                //清零
  156.                         key_time = 0;
  157.                         key_500ms = 0;
  158.                 }
  159.         }        
  160. }

  161. /****************按键处理数码管显示函数***************/
  162. void key_with()
  163. {
  164.         if(key_can == 1)          //设置键
  165.         {
  166.                 f_pwm_l = 30;
  167.                 menu_1 ++;
  168.                 if(menu_1 >= 3)
  169.                 {
  170.                         menu_1 = 0;
  171.                         smg_i = 3;                  //数码管显示3位
  172.                 }
  173.         }
  174.         if(menu_1 == 1)                        //设置高温报警
  175.         {
  176.                 smg_i = 4;                  //数码管显示4位
  177.                 if(key_can == 2)
  178.                 {
  179.                         if(flag_lj_3_en == 0)
  180.                                 t_high ++ ;                //按键按下未松开自动加三次        
  181.                         else
  182.                                 t_high += 10;        //按键按下未松开自动加三次之后每次自动加10
  183.                         if(t_high > 990)
  184.                                 t_high = 990;
  185.                 }
  186.                 if(key_can == 3)
  187.                 {
  188.                         if(flag_lj_3_en == 0)
  189.                                 t_high -- ;                //按键按下未松开自动减三次        
  190.                         else
  191.                                 t_high -= 10;        //按键按下未松开自动减三次之后每次自动减10
  192.                         if(t_high <= t_low)
  193.                                 t_high = t_low + 1;
  194.                 }
  195.                 dis_smg[0] = smg_du[t_high % 10];                   //取小数显示
  196.                 dis_smg[1] = smg_du[t_high / 10 % 10] & 0xdf;  //取个位显示
  197.                 dis_smg[2] = smg_du[t_high / 100 % 10] ;           //取十位显示
  198.                 dis_smg[3] = 0x64;         //H
  199.         }        
  200.         if(menu_1 == 2)                        //设置低温报警
  201.         {
  202.                 smg_i = 4;                  //数码管显示4位
  203.                 if(key_can == 2)
  204.                 {
  205.                         if(flag_lj_3_en == 0)
  206.                                 t_low ++ ;                        //按键按下未松开自动加三次        
  207.                         else
  208.                                 t_low += 10;                //按键按下未松开自动加三次之后每次自动加10
  209.                         if(t_low >= t_high)
  210.                                 t_low = t_high - 1;
  211.                 }
  212.                 if(key_can == 3)
  213.                 {
  214.                         if(flag_lj_3_en == 0)
  215.                                 t_low -- ;                        //按键按下未松开自动减三次        
  216.                         else
  217.                                 t_low -= 10;                //按键按下未松开自动加三次之后每次自动加10
  218.                         if(t_low <= 10)
  219.                                 t_low = 10;
  220.                 }
  221.                 dis_smg[0] = smg_du[t_low % 10];                   //取小数显示
  222.                 dis_smg[1] = smg_du[t_low / 10 % 10] & 0xdf;   //取个位显示
  223.                 dis_smg[2] = smg_du[t_low / 100 % 10] ;               //取十位显示
  224.                 dis_smg[3] = 0x3D;          //L
  225.         }        
  226. }  

  227. /****************风扇控制函数***************/
  228. void fengshan_kz()
  229. {
  230.         if(flag_en == 1)
  231.         {
  232.                 if(temperature >= t_high)          //风扇全开
  233.                 {        
  234.                         TR1 = 1;
  235.                         pwm = 0;
  236.                 }
  237.                 else if((temperature < t_high)        && (temperature >= t_low))                   //风扇缓慢
  238.                 {
  239.                         f_pwm_l = 60;         
  240.                         TR1 = 1;
  241.                 }
  242.                 else if(temperature < t_low)         //关闭风扇
  243.                 {
  244.                         TR1 = 0;
  245.                         pwm = 1;
  246.                 }        
  247.         }               
  248. }
  249.                

  250. /****************主函数***************/
  251. void main()
  252. {
  253.         static uchar value;
  254.         time_init();                    //初始化定时器
  255.         temperature = read_temp();                //先读出温度的值        
  256.         delay_1ms(650);                                
  257.         temperature = read_temp();                         //先读出温度的值
  258.         dis_smg[0] = smg_du[temperature % 10];         //取温度的小数显示
  259.         dis_smg[1] = smg_du[temperature / 10 % 10] & 0xdf; //取温度的个位显示
  260.         dis_smg[2] = smg_du[temperature / 100 % 10] ;           //取温度的十位显示
  261.         while(1)
  262.         {               
  263.                 key();                                        //按键程序
  264.                 if(key_can < 10)
  265.                 {
  266.                         key_with();                        //设置报警温度        
  267.                 }
  268.                 if(flag_200ms == 1)            //200ms 处理一次温度程序
  269.                 {           
  270.                         flag_200ms = 0;        
  271.                         temperature = read_temp();        //先读出温度的值
  272.                         if(menu_1 == 0)
  273.                         {        
  274.                                 smg_i = 3;
  275.                                 dis_smg[0] = smg_du[temperature % 10];         //取温度的小数显示
  276.                                 dis_smg[1] = smg_du[temperature / 10 % 10] & 0xdf; //取温度的个位显示
  277.                                 dis_smg[2] = smg_du[temperature / 100 % 10] ;           //取温度的十位显示
  278.                         }
  279.                         fengshan_kz();        //风扇控制函数
  280.                         value ++;
  281.                         if(value >= 4)
  282.                         {
  283.                                 value = 0;
  284.                                 if(miao != 0)
  285.                                 {
  286.                                         miao --;    //时间减1
  287.                                 }
  288.                                 if(miao == 0)
  289.                                         flag_en = 0;
  290. //                                dis_smg[3] = smg_du[miao % 10] ;
  291.                         }
  292.                         if(hw == 1)  //感应到人
  293.                         {
  294.                                 miao = 30;
  295.                                 flag_en = 1;
  296.                         }
  297.                 }

  298.                 display();                //数码管显示函数
  299.         }
  300. }

  301. /*************定时器0中断服务程序***************/
  302. void time0_int() interrupt 1
  303. {        
  304.         static uchar value;                         //定时2ms中断一次
  305.         TH0 = 0xf8;
  306.         TL0 = 0x30;     //2ms
  307.         value++;         
  308.         if(value >= 150)
  309.         {
  310.                 value = 0;         
  311.                 flag_200ms = 1;
  312.         }
  313.         if(flag_lj_en == 1)           //按下按键使能
  314.         {
  315.                 key_time ++;
  316.                 if(key_time >= 250) //500ms
  317.                 {
  318.                         key_time = 0;
  319.                         key_500ms = 1; //500ms
  320.                         key_value ++;
  321.                         if(key_value > 3)
  322.                         {
  323.                                 key_value = 10;
  324.                                 flag_lj_3_en = 1; //3次后1.5秒连加大些
  325.                         }                                                
  326.                 }
  327.         }
  328. }


  329. /*******************定时器1用做单片机模拟PWM 调节***********************/
  330. void Timer1() interrupt 3  //调用定时器1
  331. {
  332.         static uchar value_l;
  333.         TH1=0xff;    //    定时中断一次
  334.         TL1=0xec;         //


  335. …………余下代码请下载附件…………
复制代码

全部资料下载:
正在修改中,改日上传

大家先参考下这个吧:http://www.51hei.com/bbs/dpj-203240-1.html

评分

参与人数 13黑币 +175 收起 理由
JungleHunter + 6 很给力!
INVIO + 20 共享资料的黑币奖励!
15942379927 + 5 赞一个!
m9794 + 5 赞一个!
imfly89 + 5 赞一个!
zkele + 5 很给力!
mj5556656 + 1 很给力!
茉茉 + 1
这货不是小武啊 + 5 很给力!
哇喔 + 5 共享资料的黑币奖励!
cyp + 12 很给力!
lyz-laurance + 5 很给力!
admin + 100 共享资料的黑币奖励!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏75 分享淘帖 顶19 踩1
回复

使用道具 举报

沙发
ID:167458 发表于 2017-3-13 13:16 | 只看该作者
对初学者很有帮助,谢谢!
回复

使用道具 举报

板凳
ID:167458 发表于 2017-3-13 13:16 | 只看该作者
对初学者很有帮助,谢谢!
回复

使用道具 举报

地板
ID:120517 发表于 2017-4-5 21:29 | 只看该作者
谢谢分享!!!!
回复

使用道具 举报

5#
ID:89286 发表于 2017-4-9 17:09 | 只看该作者
thanks for sharing
回复

使用道具 举报

6#
ID:139654 发表于 2017-4-10 12:19 | 只看该作者
请问程序是完整的吗?
回复

使用道具 举报

7#
ID:139654 发表于 2017-4-10 12:20 | 只看该作者
请问楼主,你的C文件是完整的吗
?’
回复

使用道具 举报

8#
ID:192065 发表于 2017-4-21 16:05 | 只看该作者
啊啊楼主求一份,,
回复

使用道具 举报

9#
ID:192065 发表于 2017-4-21 16:06 | 只看该作者
楼主赞一个!
回复

使用道具 举报

10#
ID:113894 发表于 2017-4-22 10:40 | 只看该作者
感谢楼主分享,很好的学习素材
回复

使用道具 举报

11#
ID:193274 发表于 2017-4-25 01:48 来自手机 | 只看该作者
那个人体感应模块一定要接二级管吗?什么作用?
回复

使用道具 举报

12#
ID:157057 发表于 2017-4-25 16:20 | 只看该作者
感谢分享。
回复

使用道具 举报

13#
ID:194004 发表于 2017-4-26 21:49 | 只看该作者
求一份
回复

使用道具 举报

14#
ID:168829 发表于 2017-4-27 10:49 | 只看该作者
太好了感谢感谢
回复

使用道具 举报

15#
ID:106197 发表于 2017-4-27 21:07 | 只看该作者
有很大的帮助,谢谢
回复

使用道具 举报

16#
ID:197741 发表于 2017-5-7 15:15 | 只看该作者
求下载参考一波你的资料
回复

使用道具 举报

17#
ID:72649 发表于 2017-5-12 14:51 | 只看该作者
谢谢分享
回复

使用道具 举报

18#
ID:184593 发表于 2017-5-12 15:35 | 只看该作者
想法很不错,谢谢楼主
回复

使用道具 举报

19#
ID:207230 发表于 2017-6-2 22:06 | 只看该作者
挺好的,就是没有黑币
回复

使用道具 举报

20#
ID:104838 发表于 2017-6-3 07:33 | 只看该作者

谢谢分享
回复

使用道具 举报

21#
ID:213599 发表于 2017-6-22 11:26 | 只看该作者
程序怎么这么多呀?
回复

使用道具 举报

22#
ID:94068 发表于 2017-6-28 15:00 | 只看该作者
资料看起来很全,看看去。
回复

使用道具 举报

23#
ID:219199 发表于 2017-7-12 18:55 | 只看该作者
感谢分享哦
回复

使用道具 举报

24#
ID:239580 发表于 2017-10-15 10:38 | 只看该作者
求参考哈
回复

使用道具 举报

25#
ID:68202 发表于 2017-10-19 15:06 | 只看该作者
直流风扇还是交流风扇?
回复

使用道具 举报

26#
ID:242370 发表于 2017-10-24 11:43 | 只看该作者
解决了我心中的问题,谢谢!!!
回复

使用道具 举报

27#
ID:246345 发表于 2017-11-13 16:56 | 只看该作者
对我很有帮助谢谢
回复

使用道具 举报

28#
ID:250469 发表于 2017-11-17 16:52 | 只看该作者
没有黑币。。。下载不了
回复

使用道具 举报

29#
ID:258576 发表于 2017-12-7 12:30 | 只看该作者
给力给力
回复

使用道具 举报

30#
ID:258576 发表于 2017-12-7 13:41 | 只看该作者
知识就是力量 发表于 2017-3-13 13:16
对初学者很有帮助,谢谢!

可以发我一份吗 黑币不够  谢谢啦  425061228@qq.com
回复

使用道具 举报

31#
ID:258576 发表于 2017-12-9 18:09 | 只看该作者
仿真数码管乱码
回复

使用道具 举报

32#
ID:214944 发表于 2017-12-11 12:45 | 只看该作者
多谢多谢
回复

使用道具 举报

33#
ID:260535 发表于 2017-12-12 11:00 | 只看该作者
很给力
回复

使用道具 举报

34#
ID:260712 发表于 2017-12-12 16:12 | 只看该作者
很好非常有帮助1
回复

使用道具 举报

35#
ID:249545 发表于 2017-12-14 11:06 | 只看该作者
模型很厉害的样子,不知道设好温度之后,下次开机时要不要重新设定?
回复

使用道具 举报

36#
ID:266312 发表于 2017-12-25 11:20 | 只看该作者
可以的 还不错
回复

使用道具 举报

37#
ID:266312 发表于 2017-12-26 09:35 | 只看该作者
程序和仿真图对不起啊  不能用
回复

使用道具 举报

38#
ID:263803 发表于 2017-12-27 13:53 | 只看该作者
谢谢大佬分享 对初学者很有用
回复

使用道具 举报

39#
ID:273942 发表于 2018-1-9 19:13 | 只看该作者
非常感谢
回复

使用道具 举报

40#
ID:273942 发表于 2018-1-9 19:17 | 只看该作者
很好,消息楼主分享
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表