找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
查看: 4477|回复: 3
收起左侧

基于DDS任意波形信号发生器的FPGA设计

[复制链接]
ID:201155 发表于 2017-5-16 12:46 | 显示全部楼层 |阅读模式
  直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成变形的方法可以满足产生任意波形的要求。随着现场可编程门阵列(FPGA)的快速发展,其具有高速度、集成度高和可实现大容量存储功能的特性,从而有效的实现了DDS技术,提高了技术同时降低了成本。

  本设计使用Altera公司出厂的暴风四代EP4CE6E22C8开发板作为产生波形数据的主芯片,充分利用该芯片的超大集成性和快速性。论文首先对DDS的基本原理和输出信号的频谱特性进行了理论分析,可以通过增大有效波形的储存容量、修改频率控制字来改变输出频率等方法来合成信号频谱纯度。其次,本文利用Altera的设计工具Quartus ii 15.1.0 结合Verilg-HDL语言来设计硬件电路。在设计的过程中经研究发现采用硬件编程的方法设计,很好的克服了在设计上出现的芯片和控制接口的难题。第三步,通过时钟信号驱动开发板,在经动态扫描过程把硬件电路输出的信号进PWM输出显示在四位数码管上。本文既做仿真也做实物,仿真主要是通过Quartus ii 自带的仿真器进行仿真。

  通过示波器测量表明,由FPGA可设计出简易的信号发生器,其可以输出频率在100KHz以内且频率可调的三角波、正弦波和方波三种波形,经再三调试设定的频率值与输出频率值误差小于1Hz。

0.png

完整论文下载(word格式 可编辑):
基于DDS的简易波形发生器的设计.zip (639.73 KB, 下载次数: 129)

评分

参与人数 1黑币 +50 收起 理由
admin + 50 共享资料的黑币奖励!

查看全部评分

回复

使用道具 举报

ID:410712 发表于 2018-10-16 19:03 | 显示全部楼层
感谢楼主
回复

使用道具 举报

ID:410712 发表于 2018-10-16 19:03 | 显示全部楼层
很有用吗
回复

使用道具 举报

ID:92829 发表于 2018-8-12 07:26 | 显示全部楼层
good data for me
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

快速回复 返回顶部 返回列表