找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (5) |订阅

Allegro论坛 今日: 0|主题: 134|排名: 15 

123
返 回 发新帖
作者 回复/查看 最后发表
预览 【高速PCB设计软件操作】大神一步一步教你用allegro进行正片层的光绘设置 attach_img uid:159936 2017-1-20 10:51 04200 2017-1-20 10:51
预览 LTC2451原理图库与PCB库资源(allegro) attach_img uid:89935 2015-11-4 15:06 127104 2016-7-11 15:27
预览 在ALLEGRO中导入&导出DXF外框文件 uid:87475 2015-8-23 16:16 13195 2016-5-7 14:37
预览 为什么在mplab里面的watch,Add Symbol会是灰色的? uid:104287 2016-1-31 20:41 05020 2016-1-31 20:41
预览 Allegro带通孔焊盘的制作详解 uid:99624 2015-12-27 03:37 06168 2015-12-27 03:37
预览 ALLEGRO不规则过孔 uid:99624 2015-12-27 03:36 03780 2015-12-27 03:36
预览 如何将Allegro16.X版本降低为Allegro15.X uid:90228 2015-9-29 16:55 03005 2015-9-29 16:55
预览 正片_负片_allegro敷铜_及光绘文件产生介绍 uid:90228 2015-9-29 15:56 07921 2015-9-29 15:56
预览 PCB 半孔是什么样子... uid:90228 2015-9-29 15:35 09678 2015-9-29 15:35
预览 完美解决 Cadence软件 无法安装 uid:90228 2015-9-16 01:57 05244 2015-9-16 01:57
预览 ALLEGRO 焊盘制作过程详解 uid:87475 2015-9-1 10:41 03690 2015-9-1 10:41
预览 ALLEGRO 焊盘制作菜单详解 uid:87475 2015-8-31 11:44 13136 2015-8-31 12:47
预览 Cadence常见文件格式 uid:87475 2015-8-28 11:16 03510 2015-8-28 11:16
预览 解决allegro 中OUT OF DATE SHAPES问题 uid:87475 2015-8-26 16:39 03120 2015-8-26 16:39
预览 Allegro文件类型后缀说明 uid:87475 2015-8-25 15:42 03081 2015-8-25 15:42
预览 allegro软件通孔类焊盘制作方法及步骤 uid:87475 2015-8-24 16:30 03904 2015-8-24 16:30
预览 allegro 的reuse功能操作步骤详解 uid:87475 2015-8-24 14:50 03463 2015-8-24 14:50
预览 allegro快捷键设置举例 uid:87475 2015-8-21 16:00 03464 2015-8-21 16:00
预览 pcb layout学习中用Allegro几个误区 uid:87475 2015-8-21 11:34 02819 2015-8-21 11:34
预览 Allegro中针对铺铜不能自动更新 uid:87475 2015-8-20 10:32 05054 2015-8-20 10:32
预览 ALLEGRO16.2出现问题“changes not saved, cannot update the env file” uid:87475 2015-8-19 15:55 06761 2015-8-19 15:55
预览 Allegro 输出文件 uid:87475 2015-8-18 11:41 02389 2015-8-18 11:41
预览 PCB文件PROTEL到ALLEGRO的转换技巧 uid:87475 2015-8-18 10:46 02505 2015-8-18 10:46
预览 cadence布线遇到问题3(生成光绘文件中断)ERROR: aborting film - artwork will n... attach_img uid:87475 2015-8-17 15:25 02953 2015-8-17 15:25
预览 Protel 原理图/PCB到Cadence的数据转换 uid:87475 2015-8-14 10:33 03032 2015-8-14 10:33
预览 Cadence Allegro小技巧之 VIA IN PAD 扇出 attach_img uid:87475 2015-8-12 10:22 03251 2015-8-12 10:22
预览 ALLEGRO快速换层技巧 uid:87475 2015-8-11 16:28 06273 2015-8-11 16:28
预览 allegro 格点设置 attach_img uid:87475 2015-8-9 15:24 03265 2015-8-9 15:24
预览 Allegro 如何取消布线? uid:82083 2015-6-9 00:22 04571 2015-6-9 00:22
预览 allegro里怎样把铺铜显示关掉,但是走线要显示? uid:82083 2015-6-9 00:20 06735 2015-6-9 00:20
预览 Cadence系列软件自学笔记 uid:60266 2014-8-19 23:56 14778 2014-12-8 10:46
预览 Allegro通孔焊盘的制作 uid:60266 2014-8-19 23:52 19766 2014-8-22 17:16
预览 allegro小技巧集锦 uid:60266 2014-8-19 23:55 03932 2014-8-19 23:55
预览 Allegro如何生成光绘文件 uid:60266 2014-8-19 23:54 04397 2014-8-19 23:54
下一页 »
123
返 回 发新帖

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块