找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (27) |订阅|存档

FPGA/CPLD 今日: 0|主题: 732|排名: 32 

作者 回复/查看 最后发表
预览 十个练习让你学会Verilog语言 珍藏的FPGA Verilog教程 attach_img uid:292170 2018-3-15 10:34 25757 2021-6-9 10:32
预览 基于VHDL语言的数字秒表程序设计 attach_img agree uid:635632 2021-6-4 09:04 01585 2021-6-4 09:04
预览 基于FPGA的sobel算子边缘检测 attach_img agree uid:931322 2021-6-2 10:36 01641 2021-6-2 10:36
预览 FIFO学习笔记 attach_img uid:502774 2021-5-30 22:47 03005 2021-5-30 22:47
预览 CPLD设计PID控制器 attach_img agree uid:682837 2021-5-27 10:17 02049 2021-5-27 10:17
预览 基于FPGA的出租车计费器的设计Verilog源码+报告 attach_img heatlevel agree uid:114903 2018-11-17 10:56 713354 2021-5-25 22:17
预览 EDA实训出租车计费器实训报告与Verilog HDL程序 attach_img heatlevel uid:247720 2017-12-7 20:40 76257 2021-5-25 22:09
预览 FPGA实现UART收发数据 verilog程序 uid:909289 2021-4-20 20:37 12189 2021-5-25 16:59
预览 EPCS4中文资料(Altera)中文数据手册 attach_img uid:479659 2019-2-26 12:47 13334 2021-5-22 15:00
预览 基于FPGA的串口发送与接收设计 含源码 attach_img agree uid:384610 2018-8-9 14:41 04576 2021-5-18 14:25
预览 Quartus EDA交通灯控制系统的FPGA调试与设计报告 attachment uid:314026 2018-4-22 21:03 37663 2021-5-18 01:23
预览 基于FPGA的CAN总线的一些资料分享 attach_img agree uid:502774 2021-5-8 20:09 02203 2021-5-8 20:09
预览 分享一个Verilog HDL硬件描述语言的PDF资料,学校老师推荐的,语言通俗易懂 attach_img agree uid:580071 2021-4-21 22:49 02968 2021-4-21 22:49
预览 FPGA学习几设计中的注意事项 agree uid:905723 2021-4-14 16:27 01435 2021-4-14 16:27
预览 基于FPGA的万年历设计(quartus代码+PCB文件+文档) attachment uid:466735 2019-3-3 18:02 411269 2021-4-7 14:21
预览 FPGA按键控制流水灯 uid:832896 2021-3-26 22:15 02164 2021-3-26 22:15
预览 FPGA控制呼吸灯 uid:832896 2021-3-26 22:13 01560 2021-3-26 22:13
预览 FPGA按键控制消抖控制led灯 uid:832896 2021-3-26 22:11 01621 2021-3-26 22:11
预览 Verilog步进电机程序 uid:821845 2021-3-24 10:34 03310 2021-3-24 10:34
预览 基于FPGA可控分频器设计资料 attach_img uid:704585 2021-3-21 19:21 02233 2021-3-21 19:21
预览 xilinx原语的使用方法 .pdf attachment uid:704585 2021-3-20 16:43 0204 2021-3-20 16:43
预览 分享一下我自己创建的FPGA封装库! attach_img agree uid:189602 2017-7-13 08:56 54138 2021-3-19 07:28
预览 含时钟使能的十进制计数器的设计 Quartus II attachment agree uid:886195 2021-2-24 18:24 04692 2021-2-24 18:24
预览 pfm双通道驱动 verilog源码 agree uid:884524 2021-2-17 14:36 01775 2021-2-17 14:36
预览 Diamond 3.5简易教程 LATTICE fpga的软件使用方法 attachment uid:288227 2018-3-6 15:24 414792 2021-2-8 11:01
预览 VHDL编程风格.pdf attachment uid:704585 2021-1-28 09:49 0179 2021-1-28 09:49
预览 MaxplusII使用进阶与提高 vhdl环境 attach_img uid:704585 2021-1-17 09:37 01739 2021-1-17 09:37
预览 FFT变换的IP核的vhdl源代码 attach_img uid:704585 2021-1-15 14:01 01673 2021-1-15 14:01
预览 Verilog数据拼接与拆分代码 uid:704585 2021-1-8 20:42 03942 2021-1-8 20:42
预览 用FPGA模拟USB功能(采用VHDL语言编写) attachment uid:704585 2020-12-31 16:37 02029 2020-12-31 16:37
预览 Quartus II设计一个蜂鸣器-音乐播放器源程序 attach_img agree uid:664080 2019-12-14 23:35 24433 2020-12-27 22:19
预览 ADC与DAC专题学习.pdf attachment uid:704585 2020-12-27 09:22 02045 2020-12-27 09:22
预览 Verilog HDL 的位拼接运算符 agree uid:579775 2020-12-24 18:09 05211 2020-12-24 18:09
预览 Verilog HDL 的逻辑运算符 uid:579775 2020-12-22 20:36 03125 2020-12-22 20:36
预览 Verilog基本语法—模块 uid:704585 2020-12-21 22:16 01453 2020-12-21 22:16
预览 基于FPGA的uart程序设计 attach_img agree uid:780408 2020-6-15 21:32 33279 2020-12-21 18:26
预览 Verilog HDL 的基本的算术运算符 uid:579775 2020-12-21 18:18 02420 2020-12-21 18:18
预览 基于verilog语言的交通信号灯设计分享 attach_img uid:377043 2018-7-22 08:00 67299 2020-12-20 20:48
预览 FPGA SPI接口和ds1302实时时钟 attach_img uid:240399 2018-12-24 11:09 13207 2020-12-18 09:01
预览 FPGA+DSP的高速AD采集处理开发详解 uid:336245 2020-6-18 10:33 43401 2020-12-17 15:27
预览 verilog源码资料-FIR滤波器设计 attach_img uid:704585 2020-12-13 14:54 01759 2020-12-13 14:54
预览 DDS原理简介(中文).pdf attach_img uid:704585 2020-12-10 16:23 01948 2020-12-10 16:23
预览 Verilog变量 reg型介绍 uid:579775 2020-12-9 20:19 09725 2020-12-9 20:19
预览 FPGA/ASIC笔试面试题下载 attach_img agree uid:855636 2020-12-6 21:48 11732 2020-12-8 17:10
预览 Verilog 变量 uid:579775 2020-12-8 17:04 01877 2020-12-8 17:04
预览 Verilog HDL常量 uid:579775 2020-12-7 17:17 02407 2020-12-7 17:17
预览 3-8编码器的Quartus II实现 attach_img agree uid:855520 2020-12-6 18:29 01682 2020-12-6 18:29
预览 VHDL实现3-8译码器的简单实例 attach_img agree uid:850870 2020-11-28 20:22 12079 2020-12-6 18:17
预览 Verilog HDL中数据类型及其常量、变量 agree uid:579775 2020-12-6 17:17 02201 2020-12-6 17:17
预览 学习FPGA,选择VHDL还是Verilog attachment uid:704585 2020-12-5 11:57 02112 2020-12-5 11:57
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块