找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (27) |订阅|存档

FPGA/CPLD 今日: 0|主题: 731|排名: 30 

作者 回复/查看 最后发表
预览 推荐一种新型内存扩充方案:串行PSRAM uid:152336 2016-12-6 09:30 03144 2016-12-6 09:30
预览 基于Quartus的分频器设计(含原理图及代码两种方法) 新人帖 attach_img agree uid:150657 2016-12-2 23:56 013387 2016-12-2 23:56
预览 分频器Verilog设计 attach_img agree uid:112613 2016-11-25 22:19 03537 2016-11-25 22:19
预览 四人抢答器 新人帖 attach_img uid:112613 2016-11-25 22:03 06770 2016-11-25 22:03
预览 vhdl测量频率并用数码管显示程序 新人帖 attach_img agree uid:149351 2016-11-21 22:10 02955 2016-11-21 22:10
预览 VHDL数字电子钟设计 新人帖 attachment agree uid:143948 2016-10-22 17:29 03090 2016-10-22 17:29
预览 FPGA模拟设计的计算器 attach_img uid:137190 2016-9-27 23:54 03125 2016-9-27 23:54
预览 FPGA健身自行车控制器 可编程数字系统设计实验报告 attach_img uid:137190 2016-9-26 13:00 03803 2016-9-26 13:00
预览 基于IP核的FPGA 设计方法 uid:109770 2016-3-22 22:59 14204 2016-7-9 21:59
预览 FPGA Verilog语言中阻塞赋值与非阻塞赋值个人看法 uid:128229 2016-6-27 01:10 13031 2016-7-3 16:38
预览 FPGA流水灯代码—从零开始 uid:128229 2016-6-29 14:53 14128 2016-7-3 16:09
预览 我遇到的quartus错误与警告 uid:128229 2016-6-29 14:45 04601 2016-6-29 14:45
预览 一位FPGA高人的文章 uid:128229 2016-6-29 01:33 02193 2016-6-29 01:33
预览 明德扬FPGA视频教程—FPGA知识精华大串讲 新人帖 uid:121960 2016-5-19 14:55 02720 2016-5-19 14:55
预览 如何在Quartus II 中调用Modelsim SE进行门级仿真调试 uid:113276 2016-4-10 14:45 112864 2016-5-12 15:05
预览 急求基于FPGA的示波器制作资料 新人帖 uid:114599 2016-5-4 20:26 02670 2016-5-4 20:26
预览 modelsim与debussy联调环境的搭建 attach_img uid:91350 2015-11-1 15:55 15141 2016-4-27 11:36
预览 差分编码小程序 新人帖 agree uid:116080 2016-4-23 10:20 02575 2016-4-23 10:20
预览 可维护,可移植的verilog工程设计技巧 attach_img uid:113517 2016-4-15 01:57 05055 2016-4-15 01:57
预览 FPGA学习笔记之嵌入式锁相环模块ALTPLL的配置和调用 uid:113276 2016-4-10 14:47 04433 2016-4-10 14:47
预览 如何在Win10-64位-VS2015环境下配置Opencv3.0.0 uid:113276 2016-4-10 14:42 02309 2016-4-10 14:42
预览 拉普拉斯 uid:113276 2016-4-10 14:38 02089 2016-4-10 14:38
预览 QuartusII错误分析 uid:113276 2016-4-10 14:37 03306 2016-4-10 14:37
预览 同步复位和异步复位的比较 uid:113276 2016-4-10 14:37 04347 2016-4-10 14:37
预览 vhdl多功能电子秒表程序 attach_img uid:112317 2016-4-7 01:15 04053 2016-4-7 01:15
预览 EDA乒乓球 uid:111475 2016-3-30 23:20 03200 2016-3-30 23:20
预览 CPLD多波形信号发生器 uid:111475 2016-3-30 21:17 08922 2016-3-30 21:17
预览 FPGA与CPU的异同 uid:109770 2016-3-23 00:25 02540 2016-3-23 00:25
预览 脉冲边沿检测法 uid:109770 2016-3-23 00:25 02652 2016-3-23 00:25
预览 夏宇闻教授谈FPGA工程师的入门学习 uid:109770 2016-3-22 23:38 03094 2016-3-22 23:38
预览 Verilog代码命名六大黄金规则 uid:109770 2016-3-22 23:34 02206 2016-3-22 23:34
预览 可编程器件的一些特殊符号 PLD器件中的连接方式 uid:109770 2016-3-22 23:11 12576 2016-3-22 23:12
预览 从自学FPGA到权威翻译的这五年—特权同学,永远忠于年轻时的梦想 agree uid:109770 2016-3-22 23:08 010329 2016-3-22 23:08
预览 高性能FPGA综合工具Synplify Pro uid:109770 2016-3-22 22:59 05735 2016-3-22 22:59
预览 FPGA资深FAE的经验独白 uid:109770 2016-3-22 22:58 02352 2016-3-22 22:58
预览 关于状态机的编码(独热码和格雷码) uid:109770 2016-3-22 22:57 08652 2016-3-22 22:57
预览 有些人注定是你生命中的过客 uid:109770 2016-3-22 22:51 03672 2016-3-22 22:51
预览 Verilog三段式状态机描述及模版 uid:109770 2016-3-22 22:17 05864 2016-3-22 22:17
预览 FPGA大公司面试笔试数电部分,看看你会多少 uid:109770 2016-3-22 20:11 06688 2016-3-22 20:11
预览 Can't place multiple pins assigned to pin location Pin ... uid:109770 2016-3-22 20:07 03719 2016-3-22 20:07
预览 FPGA学习-高性能计数器IP核调用与验证 uid:108531 2016-3-12 22:42 15661 2016-3-14 00:29
预览 FPGA学习-3-8译码器设计验证 uid:108531 2016-3-12 22:46 05089 2016-3-12 22:46
预览 FPGA学习-例解阻塞赋值与非阻塞赋值 uid:108531 2016-3-12 22:34 05620 2016-3-12 22:34
预览 FPGA学习-按键消抖模块设计与验证A uid:108531 2016-3-12 22:30 07996 2016-3-12 22:30
预览 FPGA学习–串口发送模块与验证 uid:108531 2016-3-12 22:12 04490 2016-3-12 22:12
预览 关于FPGA学习过程中的tcl文件与qsf文件 uid:104126 2016-1-23 00:13 14396 2016-3-11 23:43
预览 FPGA数码管交换显示 报错 uid:262 2016-3-11 21:11 02101 2016-3-11 21:11
预览 Verilog中阻塞赋值和非阻塞赋值的正确使用 uid:72008 2015-1-12 02:10 13764 2016-3-7 22:44
预览 SOPC中自定义元件的端口设置解析 attach_img uid:107189 2016-3-4 20:44 03674 2016-3-4 20:44
预览 FPGA学习之--如何在Quartus II 中调用Modelsim SE进行仿真调试 uid:105323 2016-3-4 01:29 06809 2016-3-4 01:29
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块