找回密码
 立即注册

QQ登录

只需一步,快速开始

搜索
收藏本版 (27) |订阅|存档

FPGA/CPLD 今日: 0|主题: 732|排名: 32 

作者 回复/查看 最后发表
预览 谁有比较好的FPGA教程 uid:80965 2015-5-24 16:33 02855 2015-5-24 16:33
预览 fpga基于环扭型计数器的流水灯实验-楠妹 uid:77367 2015-4-19 01:32 13351 2015-5-22 11:24
预览 vhdl驱动lcd解决了ISE联合modelsim仿真看不见波形的问题 attach_img uid:80436 2015-5-19 23:06 04354 2015-5-19 23:06
预览 用状态机编写的VHDL60分计时器 uid:77367 2015-4-19 03:04 03228 2015-4-19 03:04
预览 更改QuartusⅡ输入字体大小方法 uid:77367 2015-4-19 01:34 09163 2015-4-19 01:34
预览 8位Johnson计数器的Verilog建模 uid:77367 2015-4-19 01:34 08216 2015-4-19 01:34
预览 fpga数码管动态扫描程序 uid:77367 2015-4-19 01:30 05337 2015-4-19 01:30
预览 verilog中的timescale uid:77367 2015-4-19 01:29 03713 2015-4-19 01:29
预览 Nios II中使用onchip ram 出现can not place all ram cell in design的问题 uid:77367 2015-4-19 01:21 02937 2015-4-19 01:21
预览 Error: Can't place multiple pins assigned to pin location……解决办法 uid:77367 2015-4-19 01:21 08542 2015-4-19 01:21
预览 分频器设计--VHDL源码 uid:72519 2015-1-23 21:37 03311 2015-1-23 21:37
预览 计数器——VHDL源码 uid:72519 2015-1-23 21:36 03806 2015-1-23 21:36
预览 JD642的又一个错误,请下载了的朋友注意 uid:72008 2015-1-13 00:18 02399 2015-1-13 00:18
预览 FPGA小板出炉了 uid:72008 2015-1-13 00:10 43421 2015-1-13 00:12
预览 Verilog-initial语句 uid:72008 2015-1-12 02:41 05566 2015-1-12 02:41
预览 FPGA扫盲之:uartus II软件与ISE软件分别是干什么用的? uid:72008 2015-1-12 02:33 04566 2015-1-12 02:33
预览 Quartus II中 Can't access JTAG chain问题的解决 uid:72008 2015-1-12 02:13 040351 2015-1-12 02:13
预览 Quartus II9.0中不能自动检查硬件设备的解决方法 uid:72008 2015-1-12 02:12 08786 2015-1-12 02:12
预览 循环冗余校验码 uid:72008 2015-1-12 02:11 02294 2015-1-12 02:11
预览 FPGA牛人的多年经验总结,很值得深思! uid:71651 2015-1-6 16:54 02853 2015-1-6 16:54
预览 VHDL键盘码 uid:71407 2015-1-1 17:42 03259 2015-1-1 17:42
预览 FPGA设计之双向移位寄存器-视频教程 uid:70976 2014-12-27 16:30 03042 2014-12-27 16:30
预览 FPGA设计之灯光控制电路-视频教程 uid:70976 2014-12-27 16:29 02412 2014-12-27 16:29
预览 FPGA学习总结 uid:70976 2014-12-26 21:43 02337 2014-12-26 21:43
预览 FPGA前辈分享 uid:52856 2014-12-25 15:55 02234 2014-12-25 15:55
预览 VHDL编程60s时钟及显示程序 uid:51090 2014-9-29 17:11 13026 2014-12-8 20:52
预览 VHDL 八位二进制数减法器 uid:51269 2014-11-10 15:30 06525 2014-11-10 15:30
预览 VHDL之加法器系列(四位 八位 半加器 全加器) uid:51269 2014-11-10 15:28 021333 2014-11-10 15:28
预览 关于FPGA的VHDL算数运算 uid:51269 2014-11-10 15:24 05283 2014-11-10 15:24
预览 QuartusII 信号发生器使用方法详解 uid:51269 2014-11-10 15:22 010389 2014-11-10 15:22
预览 FPGA/CycloneIV E/EP4CE115F29C8开发板管脚配置 uid:51269 2014-11-10 15:19 09907 2014-11-10 15:19
预览 CycloneIV S-50MH显示60s计时和流水灯 uid:51269 2014-11-10 15:18 02497 2014-11-10 15:18
预览 VHDL-lcd1602液晶程序 uid:51269 2014-11-10 15:18 03364 2014-11-10 15:18
预览 带液晶、数码管计时和流水灯VHDL程序 uid:51269 2014-11-10 15:16 03282 2014-11-10 15:16
预览 VHDL数组 uid:51269 2014-11-10 15:13 03956 2014-11-10 15:13
预览 FPGA之VHDL的74HC161和74HC90court uid:262 2014-10-21 15:23 02984 2014-10-21 15:23
预览 状态机 uid:262 2014-10-17 18:52 02590 2014-10-17 18:52
预览 VHDL除法器宏模块及编程调用 uid:262 2014-10-17 18:51 05263 2014-10-17 18:51
预览 编程和绘制原理图完成数字电路设计 uid:262 2014-10-17 18:51 03961 2014-10-17 18:51
预览 Win 8 环境下Blaster驱动安装 uid:51090 2014-9-29 17:10 02605 2014-9-29 17:10
预览 用wait until 用法和子程序的编辑与调用 uid:51090 2014-9-29 17:09 07305 2014-9-29 17:09
预览 适合新手学习用的资料 新人帖 attachment agree uid:66478 2014-9-22 02:08 02416 2014-9-22 02:08
预览 FPGA学习经验,FPGA学习步骤 uid:52856 2014-9-17 10:29 02229 2014-9-17 10:29
预览 FPGA牛人的经验谈 uid:65228 2014-8-31 15:51 02158 2014-8-31 15:51
预览 verilog学习笔记一:阻塞赋值与非阻塞赋值 agree uid:51025 2014-8-29 17:33 02933 2014-8-29 17:33
预览 QUARTUS升级注意 uid:51088 2014-8-20 03:12 04829 2014-8-20 03:12
预览 分享一段代码--BT.656视频流中恢复同步信号 uid:51088 2014-8-20 03:11 04849 2014-8-20 03:11
预览 2mV的错 uid:51088 2014-8-20 02:51 02465 2014-8-20 02:51
预览 ALTERA DDR2内核编译遇到的问题 uid:51088 2014-8-20 02:49 05083 2014-8-20 02:49
预览 FPGA的图像处理技术,你知道多少? uid:65228 2014-8-19 17:16 02756 2014-8-19 17:16
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

手机版|小黑屋|51黑电子论坛 |51黑电子论坛6群 QQ 管理员QQ:125739409;技术交流QQ群281945664

Powered by 单片机教程网

返回顶部 返回版块